Resultados da pesquisa

Ir para navegação Ir para pesquisar

Resultados nos títulos das páginas

Resultados nos textos das páginas

  • ...sino, e também pelos alunos através do uso de kits de desenvolvimento para FPGA de baixo custo (< U$ 1000). Os instrumentos de medição, principalmente aq Neste projeto será desenvolvido e implementado em FPGA um protótipo para análise no domínio da
    9 kB (1 622 palavras) - 14h19min de 15 de março de 2018
  • *[http://en.wikipedia.org/wiki/Fpga Wikipedia - FPGA] ===Projeto e programação do FPGA===
    2 kB (285 palavras) - 10h32min de 11 de março de 2015
  • #REDIRECIONAMENTO [[Configuração da USB para programação do FPGA via JTAG]]
    79 byte (12 palavras) - 10h09min de 25 de novembro de 2013
  • ...idático para análise de sinais no domínio da frequência - Implementação em FPGA]]
    116 byte (20 palavras) - 14h17min de 15 de março de 2018
  • ...o propõe um sistema baseado em componentes de hardware programável do tipo FPGA que será administrado através da linguagem VHDL. FPGA. VGA. VHDL. Memória. Vídeo Analógico.
    1 kB (199 palavras) - 10h10min de 12 de março de 2019
  • ...de passo tendo como dispositivo alvo o Controlador de 8 bits Softcore para FPGA [Destro, 2011]. ;Palavras chave:FPGA, Interface Web, Sistemas Embarcados.
    2 kB (254 palavras) - 10h33min de 11 de março de 2015
  • ...is very common to find, after performing a complete timing analysis on an FPGA design, that one or more timing reports indicate a timing failure. How can ...11f27&elqaid=72032&elqat=1 AN 584: Timing Closure Methodology for Advanced FPGA Design]
    4 kB (580 palavras) - 11h13min de 26 de agosto de 2021
  • *A instalação do cabo e driver USB para programação via JTAG de FPGA ALTERA deve ser feito uma vez na maquina onde será usado o programador da ====Configuração da USB para programação do FPGA====
    3 kB (494 palavras) - 10h30min de 11 de março de 2015
  • Projeto de Pesquisa: '''Multiplicadores por Constante baseados em RNS para FPGA'''. <br />
    234 byte (29 palavras) - 13h07min de 12 de maio de 2018
  • ;Integração de ramais analógicos com FPGA utilizando processador softcore ...a de hardware e software para realizar a integração do ramal analógico com FPGA foram executados.
    3 kB (443 palavras) - 10h48min de 17 de fevereiro de 2023
  • ...ção, Gerenciamento e Monitoramento com o Laboratório Remoto de FPGAs (eLab FPGA)''' ...que permitirá a interação com os componentes, chaves e botões nos kits de FPGA do eLab. Além disso, será possível acompanhar os resultados por meio de
    2 kB (369 palavras) - 20h19min de 18 de dezembro de 2023
  • ;Integração de ramais analógicos com FPGA para processamento de áudio utilizando softcores ...gicos programáveis, mais especificamente as Field Programmable Gate Array (FPGA), vem possibilitando a criação de plataformas sob medida para uma determi
    2 kB (261 palavras) - 20h35min de 6 de abril de 2022
  • * Usando os Kits de FPGA ...ar_o_circuito_lógico_no_FPGA | Preparando para gravar o circuito lógico no FPGA]]
    3 kB (452 palavras) - 11h20min de 11 de março de 2020
  • [[Categoria:FPGA]]
    370 byte (58 palavras) - 10h35min de 11 de março de 2015
  • mesmo FPGA, possam utilizá-la, a fim de atender dispositivos em conformidade com a no A plataforma é baseada em um sistema embarcado, também sintetizado no FPGA, com
    2 kB (344 palavras) - 10h33min de 11 de março de 2015
  • * Troca da família do FPGA; == Troca da família do FPGA==
    2 kB (339 palavras) - 15h53min de 28 de dezembro de 2014
  • : <strong>Integração de ramais analógicos com FPGA paraprocessamento de áudio utilizando softcores</strong> ...gicos programáveis, mais especificamente as Field Programmable Gate Array (FPGA), vem possibilitando a criação de plataformas sobmedida para uma determin
    2 kB (281 palavras) - 21h49min de 5 de abril de 2022
  • {{Cl|2 |15/2 | 2 | UN2 - Processadores embarcados e depuração em FPGA | Lab Programação}} {{Cl|3 |21/2 | 2 | UN2 - Processadores embarcados e depuração em FPGA | Lab Programação}}
    13 kB (1 625 palavras) - 09h32min de 7 de março de 2017
  • ...ção do chip, níveis de tensão de alimentação e velocidade relativa de cada FPGA devido ao processo de fabricação. ...de tensão de alimentação''', as tensões mais críticas para o desempenho do FPGA são o Vcc e as tensões de I/O (Entrada e Saída). Cada uma das tensões d
    3 kB (569 palavras) - 11h24min de 17 de maio de 2017
  • Esta proposta visa desenvolver o [[FPGA eLab: Plataforma de Desenvolvimento Avançado para Ensino e Pesquisa]]. Du
    359 byte (56 palavras) - 17h05min de 16 de agosto de 2023
  • ...de espectro de frequências didático desenvolvido utilizando um dispositivo FPGA. A proposta de trabalho surgiu em função do alto custo de equipamentos co ...-heteródino. Devido as características do conversor ADC disponível no kit FPGA utilizado, o sistema está limitado a faixa de frequência entre 0 kHz à 2
    4 kB (624 palavras) - 09h40min de 17 de agosto de 2018
  • ;FPGA eLab: Plataforma de Desenvolvimento Avançado para Ensino e Pesquisa; ...omo objetivo propor um sistema que provê uma plataforma de desenvolvimento FPGA, permitindo o acesso à diversos \textit{kits} de placas de forma remota. A
    2 kB (296 palavras) - 12h53min de 9 de maio de 2024
  • ...Tap, sintetizado no próprio FPGA, para a confirmação de sinais internos do FPGA. Os cenários implementados permitiram verificar o correto funcionamento do FPGA, Processamento de Sinais, VHDL, Gerador Senoidal, Filtros.
    5 kB (838 palavras) - 10h32min de 11 de março de 2015
  • ...me Standard Edition User Guide: Third-party Simulation - ModelSim - Intel® FPGA Edition, ModelSim® , and QuestaSim] ;ModelSim* - Intel® FPGA Edition Simulation Quick-Start - Intel® Quartus® Prime Standard Edition:
    4 kB (520 palavras) - 18h35min de 15 de setembro de 2022
  • ...) que se comunicam entre si, para demonstrar a sincronização do relógio do FPGA escravo com uma precisão de nanossegundos. ;Palavras-chave: Sincronização em Hardware. PTP. IEEE-1588v2. FPGA. Protocolo de sincronização de tempo.
    2 kB (414 palavras) - 14h28min de 23 de outubro de 2019
  • ==Comparador de igualdade e programação no FPGA== * Programar o FPGA para implementar um comparador de igualdade.
    3 kB (510 palavras) - 09h37min de 21 de outubro de 2014
  • ...o) que se comunicam entre si, para demonstrar asincronização do relógio do FPGA escravo com uma precisão de nanossegundos. ;Palavras-chave: Sincronização em Hardware. PTP. IEEE-1588v2. FPGA. Protocolo de sincronização de tempo.
    2 kB (411 palavras) - 11h44min de 31 de outubro de 2019
  • ...o) que se comunicam entre si, para demonstrar asincronização do relógio do FPGA escravo com uma precisão de nanossegundos. ;Palavras-chave:Sincronização em Hardware. PTP. IEEE-1588v2. FPGA. Protocolo de sicro-nização de tempo.
    2 kB (378 palavras) - 16h21min de 20 de outubro de 2019
  • ...- 2 será implementado em linguagem VDHL com implementação real em um CHIP FPGA. Este sistema tem uma previsão de largura de banda inicial de (30kHz a 20M [[Categoria:FPGA]]
    1 kB (231 palavras) - 10h33min de 11 de março de 2015
  • ...to de recursos. Docentes poderão realizar atividades práticas de ensino em FPGA em ambientes remotos, e estudantes terão acesso a um amplo conjunto de kit :* Jamilly da Silva Pinheiro, [[G5: FPGA eLab: Registro Diário/Semanal - Jamilly da Silva Pinheiro]]
    5 kB (737 palavras) - 17h16min de 27 de fevereiro de 2024
  • ...Assist from Terasic DE0-Nano Board] esta resumido o processo de uso de um FPGA para a restauração do módulo AGC da Apolo 11. Uma série de vídeos mos ...pois detalha algumas áreas como eletrônica digital, eletrônica analógica, FPGA, programação de software (não tão soft) e uso de instrumentação eletr
    3 kB (559 palavras) - 12h38min de 17 de abril de 2020
  • |13:30 - 14:15|| [[SSI III]] || Lab. Des. || PCC FPGA (Neri) || PCC LTE (Adriano) ||Lab. Des. |14:15 - 15:00|| [[SSI III]] || Lab. Des. ||PCC FPGA (Neri) || PCC LTE (Adriano)||Lab. Des.
    3 kB (361 palavras) - 09h38min de 6 de abril de 2009
  • Para utilizar o Software Quartus II para programar o FPGA, siga as seguintes etapas: Para programar o FPGA a interface do programador deverá estar conforme mostra a figura abaixo:
    3 kB (509 palavras) - 17h18min de 6 de maio de 2024
  • ...bilizando em um monitor os sinais capturados. O módulo de interface VGA em FPGA foi implementado usando dispositivos da ALTERA® disponíveis no kit de des ..., que é uma ferramenta para leitura em tempo real de sinais no interior do FPGA, permitindo uma análise detalhada do funcionamento dos blocos.
    5 kB (824 palavras) - 10h32min de 11 de março de 2015
  • ==Escolha do kit com o FPGA== ...s, Displays, Conectores ligados diretamente a um conjunto de pinos do chip FPGA, Conversores A/D e D/A, entre outros. Para conhecer a característica de c
    9 kB (1 337 palavras) - 11h58min de 1 de dezembro de 2023
  • * Gravando o projeto no FPGA; ==Gravando o projeto no FPGA==
    2 kB (406 palavras) - 18h11min de 6 de outubro de 2015
  • ...ar_o_circuito_lógico_no_FPGA | Preparando para gravar o circuito lógico no FPGA]]
    1 kB (216 palavras) - 09h39min de 18 de junho de 2018
  • *Introdução à Tecnologia FPGA. {{ref_1|Projetando Controladores Digitais com FPGA | | César da Costa| Novatec| |2006 | |}}
    2 kB (245 palavras) - 12h40min de 5 de fevereiro de 2015
  • * '''Aula 07/11/2014''' - Contador Assíncrono Crescente - Uso do FPGA [http://wiki.sj.ifsc.edu.br/index.php/Contador_Ass%C3%ADncrono_Crescente_-_ * FPGA - Contador Assíncrono Crescente - Uso do FPGA [http://wiki.sj.ifsc.edu.br/index.php/Contador_Ass%C3%ADncrono_Crescente_-_
    4 kB (646 palavras) - 08h26min de 8 de outubro de 2015
  • ...o propõe um sistema baseado em componentes de hardware programável do tipo FPGA que será administrado através da liguagem VHDL. O sistema irá realizar a Palavras-chave: FPGA, VGA, VHDL, Memória e Vídeo Analógico.
    4 kB (679 palavras) - 15h03min de 24 de março de 2019
  • ...a possibilidade de implementar em hardware para analise do espectro em um FPGA utilizando a linguagem VHDL e também utilizando outras ferramentas para po ...runo Marcos. Desenvolvimento e uso de módulos para processamento de sinais FPGA. São José: [s.n.], 2011. 53 p.
    5 kB (740 palavras) - 21h25min de 24 de agosto de 2020
  • ...o) que se comunicam entre si, para demonstrar asincronização do relógio do FPGA escravo com uma precisão de nanossegundos. ;Palavras-chave: Sincronização em Hardware. PTP. IEEE-1588v2. FPGA. Protocolo de sincronização de tempo.
    5 kB (752 palavras) - 16h42min de 20 de outubro de 2019
  • =Configuração da USB para programação do FPGA= ...]. O posterior uso e programação do FPGA são detalhados em [[Programando o FPGA através da USB-Blaster]].
    5 kB (837 palavras) - 09h41min de 23 de fevereiro de 2021
  • ...ESQUISA|Desenvolvimento e uso de módulos para o processamento de sinais em FPGA|Bruno Marcos Espindola |Prof. Marcos Moecke ||PIBIC EM/CNPq 2010/2011 |01/0 {{PROJ2|PESQUISA|Sistema de análise de sinais: Módulo de interface VGA em FPGA|Glaucio Bertelli Peres|Prof. Marcos Moecke ||PIBIC EM/CNPq 2010/2011 |01/08
    2 kB (322 palavras) - 08h26min de 21 de agosto de 2014
  • =[[Preparando para gravar o circuito lógico no FPGA]]= Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE0-Nano.
    4 kB (627 palavras) - 10h03min de 22 de maio de 2020
  • =Preparando para gravar o circuito lógico no FPGA= Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE2-115. [[Arquivo:KitDE
    4 kB (757 palavras) - 13h41min de 24 de outubro de 2014
  • ...oratório de Simulação de memórias.Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    11 kB (1 667 palavras) - 13h11min de 6 de dezembro de 2016
  • ...ontent/dam/altera-www/global/ja_JP/pdfs/literature/an/an297.pdf Optimizing FPGA Performance Using the Quartus II Software] - Application Note 297
    2 kB (233 palavras) - 23h51min de 28 de fevereiro de 2019
  • <tr><th> Signal <th> Name FPGA <th> Pin No. Description <th> I/O Standard <tr><th> Signal <th> Name FPGA <th> Pin No. Description <th> I/O Standard
    14 kB (2 425 palavras) - 13h14min de 23 de junho de 2022
  • =Preparando para gravar o circuito lógico no FPGA= Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE2-115. [[Arquivo:KitDE
    5 kB (855 palavras) - 08h49min de 11 de dezembro de 2013
  • =Preparando para gravar o circuito lógico no FPGA= Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit MERCURIO IV. [[Arquivo:K
    5 kB (850 palavras) - 16h37min de 6 de dezembro de 2013
  • =Preparando para gravar o circuito lógico no FPGA= Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE2-115. [[Arquivo:KitDE
    5 kB (862 palavras) - 11h57min de 26 de junho de 2014
  • ...possibilita habilitar no compilador o uso dos multiplicadores embutidos no FPGA, resultando em redução do atraso de propagação entre 30% a 45%. ...Desempenho de circuitos aritméticos, tipos de somadores, multiplicadores, FPGA, VHDL
    4 kB (700 palavras) - 15h46min de 5 de setembro de 2016
  • ...rocessadores embarcados em FPGA, projetos avançados de sistemas utilizando FPGA # Processadores embarcados e depuração em FPGA (12h): Configuração e geração de processadores; Desenvolvimento de soft
    6 kB (839 palavras) - 00h17min de 6 de novembro de 2020
  • * Utilizar um kit FPGA para implementar o circuito. * Identifique no kit FPGA (DE2-115) as chaves, leds e mostrador que será utilizado
    8 kB (1 275 palavras) - 17h22min de 9 de novembro de 2023
  • *[[Introdução à tecnologia FPGA ]] :* http://fpga-dsp-scratch.blogspot.com.br/2008/08/vhdl-part-29-priority-encoder.html
    7 kB (1 023 palavras) - 20h54min de 21 de fevereiro de 2017
  • ...e proporcionar a conexão de um elevado usando um (microprocessador (ARM) e FPGA (XILINX)) a plataforma para laboratórios remotos, denominada Weblab-Deusto
    1 kB (163 palavras) - 15h28min de 17 de novembro de 2017
  • ...ema chamado SignalTap II que pode capturar e mostrar os sinais em qualquer FPGA em tempo real. *2. Restaure, compile e carregue o projeto no FPGA. No conector P2-verde do DAC deve estar sendo gerada uma senoide (conecte a
    5 kB (801 palavras) - 18h57min de 16 de fevereiro de 2016
  • ...sintetizado em um kit de desenvolvimento em Field Programable Gate Array (FPGA) validando o seu funcionamento para posterior uso em projetos que envolvam ...agens PTPv2. Bloco de hardware HA1588. Linguagem de descrição de hardware. FPGA.
    5 kB (740 palavras) - 13h00min de 21 de agosto de 2023
  • {{TCC3| Estudo e Implementação de um Sistema de Detecção de Sinais DTMF em FPGA | Farleir Luís Minozzo | Prof. Marcos Moecke | Eng. Robson Veronezi Campos
    1 kB (179 palavras) - 18h51min de 17 de março de 2010
  • ...os finais antes da recuperação e se der tudo certo, estudar um pouco sobre FPGA, o que são estes dispositivos integrados e como funcionam. * Falar sobre FPGA.
    14 kB (2 055 palavras) - 18h11min de 2 de agosto de 2016
  • ...possibilita habilitar no compilador o uso dos multiplicadores embutidos no FPGA, resultando em redução do atraso de propagação entre 30% a 45%. Desempenho de circuitos aritméticos, tipos de somadores, multiplicadores, FPGA, VHDL.
    6 kB (902 palavras) - 14h20min de 9 de setembro de 2016
  • ...mplementado fisicamente em uma classe de dispositivos programáveis chamada FPGA (Field Programmable Gate Arrays). Os FPGAs são hardware reconfigurável no ...t will be physically implemented in a class of programmable devices called FPGA (Field Programmable Gate Arrays). FPGAs are reconfigurable hardware in whic
    3 kB (454 palavras) - 01h35min de 25 de julho de 2021
  • ...tamente na plataforma LINUX. O laboratório também dispõe de diversos kits FPGA que podem ser programados para testar os sistemas implementados. *[[Preparando para gravar o circuito lógico no FPGA]]
    6 kB (1 035 palavras) - 10h07min de 16 de fevereiro de 2024
  • ...tamente na plataforma LINUX. O laboratório também dispõe de diversos kits FPGA que podem ser programados para testar os sistemas implementados. *[[Preparando para gravar o circuito lógico no FPGA]]
    6 kB (1 056 palavras) - 19h48min de 19 de fevereiro de 2024
  • ...ircuitos básicos de telecomunicações em dispositivos lógicos programáveis (FPGA) com o auxílio de softwares como ferramentas de desenvolvimento.
    1 kB (177 palavras) - 19h02min de 14 de novembro de 2006
  • ...imento 4 para Circuitos Lógicos | Comparador de igualdade e programação no FPGA]]== * Programar o FPGA para implementar um comparador de palavras (ou gerador de paridade); DE2-11
    12 kB (1 898 palavras) - 14h36min de 9 de setembro de 2016
  • Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE2-115.
    3 kB (561 palavras) - 10h57min de 6 de outubro de 2015
  • ...(DE2-115) utilize o conversor '''7447''', conforme figura abaixo, e para a FPGA pequena (Macnica), que possui display Catodo Comum, deve-se utilizar o '''7 Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit '''DE2-115''' ou '''Merc
    9 kB (1 391 palavras) - 08h57min de 17 de maio de 2023
  • ...de restrição de tempo para otimizar a colocação do projeto no dispositivo FPGA de destino. É possível especificar todas as restrições de tempo no form
    2 kB (263 palavras) - 20h58min de 3 de abril de 2017
  • ...rocessadores embarcados em FPGA, projetos avançados de sistemas utilizando FPGA # KILTS, Steve '''Advanced FPGA Design: Architecture, Implementation, and Optimization'''; 1ª ed. [S.l]:Wi
    9 kB (1 253 palavras) - 15h28min de 8 de outubro de 2020
  • Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE2-115. [[Arquivo:KitDE
    3 kB (594 palavras) - 12h40min de 28 de outubro de 2014
  • == Usando os Kits de FPGA == ...ar_o_circuito_lógico_no_FPGA | Preparando para gravar o circuito lógico no FPGA]]
    10 kB (1 562 palavras) - 02h43min de 12 de julho de 2019
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA;
    17 kB (2 558 palavras) - 13h03min de 16 de março de 2020
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    17 kB (2 544 palavras) - 16h40min de 6 de junho de 2017
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    17 kB (2 550 palavras) - 20h25min de 15 de março de 2018
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    17 kB (2 550 palavras) - 14h51min de 25 de outubro de 2018
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    17 kB (2 550 palavras) - 14h20min de 10 de abril de 2019
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    17 kB (2 550 palavras) - 17h39min de 4 de dezembro de 2017
  • ...laboratórios de Modelsim/Quartus. Hoje vamos mostrar algum conceitos sobre FPGA, o que são estes dispositivos integrados, suas principais características * Apresentar o FPGA.
    17 kB (2 550 palavras) - 19h23min de 9 de dezembro de 2019
  • O FPGA que equipa a placa Mercurio IV é uma Cyclone IV EP4CE30F23, a qual possui ...2, T21 e T22''' do FPGA. Um clock externo diferente pode ser conectado ao FPGA através do conector SMA (SMA_CLKIN), e da mesma forma um clock internament
    18 kB (3 088 palavras) - 17h05min de 6 de maio de 2024
  • * Usando os Kits de FPGA ...ar_o_circuito_lógico_no_FPGA | Preparando para gravar o circuito lógico no FPGA]]
    12 kB (1 693 palavras) - 17h02min de 6 de dezembro de 2019
  • * Projetos com FPGA ...ga-applications-on-aws-and-yes-for-deep-learning-too-643097257192 Building FPGA applications on AWS]
    8 kB (1 086 palavras) - 14h51min de 27 de julho de 2021
  • No caso de dispositivos de familias de FPGA mais sofisticas podem existir vários modelos de simulação. Utilize como
    2 kB (362 palavras) - 13h42min de 6 de novembro de 2021
  • ...computador é necessário fazer a [[Configuração da USB para programação do FPGA via JTAG]]. ===Programar um FPGA a partir da VM===
    12 kB (1 911 palavras) - 09h41min de 5 de março de 2021
  • ...m System-on-a-Chip (SoC), sintetizando um processador softcore NIOS em uma FPGA e carregando um software nele. Nas próximas aulas nós integraremos nossa * [[Preparando para gravar o circuito lógico no FPGA]]
    7 kB (1 175 palavras) - 15h36min de 29 de outubro de 2019
  • :(3) - Família de FPGA selecionada :(1) - Família de FPGA:Dispositivo selecionada
    7 kB (1 168 palavras) - 09h34min de 20 de fevereiro de 2024
  • ...igura 1 e 2 abaixo''. Cada linha e cada coluna está conectada a um pino do FPGA, portanto, para acender apenas o LED superior esquerdo, por exemplo, '''é
    3 kB (416 palavras) - 20h30min de 29 de março de 2021
  • Antes de poder programar um FPGA usando a interface JTAG é necessário Configurar a USB Blaster para a prog I just finished setting up Altera Quartus 11 for use with my new DE0-Nano FPGA Education board on Ubuntu 11.04. I had a little trouble getting the USB Bla
    8 kB (1 325 palavras) - 14h21min de 29 de março de 2023
  • ==Área ocupada no FPGA pelo circuito==
    12 kB (1 952 palavras) - 14h52min de 9 de setembro de 2022
  • ...tamente na plataforma LINUX. O laboratório também dispõe de diversos kits FPGA que podem ser programados para testar os sistemas implementados. *[[Preparando para gravar o circuito lógico no FPGA]]
    16 kB (2 417 palavras) - 21h51min de 26 de julho de 2023
  • ...necessárias para acessar a JTAG. [[Configuração da USB para programação do FPGA via JTAG]] # Testar se está funcionando o gravador LOCAL. [[Programando o FPGA através da USB-Blaster]]
    12 kB (1 671 palavras) - 12h12min de 2 de junho de 2020
  • **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será ===Passo 4: Escolhendo o FPGA a ser usado no projeto===
    18 kB (2 931 palavras) - 14h36min de 27 de novembro de 2019
  • * Choose one of the available FPGA families for your project (e.g. Cyclone IV GX); * Choose one of the available FPGA families for your project (e.g. Cyclone IV GX);
    11 kB (1 593 palavras) - 11h32min de 18 de dezembro de 2016
  • ...ic blocks), tecnologia, tamanho, desempenho e custo. Para a programação do FPGA tem-se disponível alguns tipos de linguagens de descrição de hardware qu ...os na implementação do circuito projetado; porcentagem de área ocupada no FPGA alvo, entre outros. Para o teste da versatilidade e configurabilidade dos c
    6 kB (972 palavras) - 17h58min de 1 de setembro de 2016
  • * Choose one of the available FPGA families for your project (e.g. Cyclone IV GX); * Choose one of the available FPGA families for your project (e.g. Cyclone IV GX);
    17 kB (2 378 palavras) - 18h00min de 8 de julho de 2015
  • Se estiver utilizando um clock gerado por cristal em um kit FPGA, essa mensagem podem ser ignorada com segurança. Caso o sinal de clock men
    3 kB (451 palavras) - 18h47min de 25 de agosto de 2020
  • ...de Voz, Imagem e Vídeo; Desenvolvimento de sistemas de telecomunicações em FPGA. No IFSC participa do [http://dgp.cnpq.br/dgp/espelhogrupo/2924804687700988 *[[FPGA eLab: Plataforma de Desenvolvimento Avançado para Ensino e Pesquisa]] - pr
    28 kB (4 203 palavras) - 08h51min de 27 de março de 2024
  • *Programação de dispositivos FPGA # COSTA, César da. '''Projetos de circuitos digitais com FPGA'''; 35ª ed. São Paulo:Érica, 2009. 206p. ISBN 9788536502397. Qtdade Na
    10 kB (1 477 palavras) - 08h48min de 26 de julho de 2023
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    14 kB (2 391 palavras) - 12h43min de 29 de maio de 2017
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    14 kB (2 391 palavras) - 15h44min de 4 de setembro de 2017
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    14 kB (2 391 palavras) - 20h20min de 15 de março de 2018
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    14 kB (2 391 palavras) - 14h48min de 25 de outubro de 2018
  • {{TabTemaTCC| Laboratório remoto de programação de FPGA | | Marcos Moecke | | | | 2023.1}}
    5 kB (734 palavras) - 15h31min de 1 de setembro de 2023
  • ...2016 - Correção da AT3 | Resultados Finais (antes da REC) | Introdução ao FPGA ]]
    6 kB (853 palavras) - 18h26min de 2 de agosto de 2016
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 496 palavras) - 15h33min de 29 de junho de 2020
  • *Preparar o circuito para programação e implementação do hardware FPGA do kit DE2-115. ==Programação do FPGA e testes finais==
    22 kB (3 378 palavras) - 13h49min de 18 de outubro de 2022
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 472 palavras) - 16h35min de 10 de junho de 2019
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 472 palavras) - 12h00min de 30 de outubro de 2019
  • ...mplementar sistemas usando processamento de sinais digitais através de DSP/FPGA:
    4 kB (574 palavras) - 23h13min de 16 de abril de 2012
  • ...ULA 17'''</span>[[DIG222802_2017_1_AULA14 | Dia 06/06/2017 - Introdução ao FPGA ]]
    7 kB (964 palavras) - 11h07min de 29 de junho de 2017
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    14 kB (2 246 palavras) - 17h08min de 11 de julho de 2016
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    14 kB (2 247 palavras) - 12h13min de 8 de novembro de 2016
  • ...ULA 18'''</span>[[DIG222802_2019_2_AULA14 | Dia 02/12/2019 - Introdução ao FPGA]]
    7 kB (975 palavras) - 17h02min de 17 de dezembro de 2019
  • ...ULA 18'''</span>[[DIG222802_2017_2_AULA14 | Dia 27/11/2017 - Introdução ao FPGA ]]
    7 kB (984 palavras) - 10h00min de 8 de fevereiro de 2018
  • *Desenvolver e implementar sistemas digitais em dispositivos FPGA utilizando metodologia RTL e síncrona. ...gister Transfer Methodology. Teste de circuitos digitais. Implementação em FPGA. Introdução a Linguagem de Descrição de Hardware.
    8 kB (1 246 palavras) - 09h31min de 16 de fevereiro de 2024
  • * Programação de dispositivos FPGA ...S, J.-P.; BIOUL; G. J. A.; SUTTER, G. D. Synthesis of arithmetic circuits: FPGA, ASIC, and embedded systems. Hoboken, NJ: John Wiley, c2006.
    8 kB (1 249 palavras) - 13h54min de 9 de fevereiro de 2024
  • .../next-generation-technology/overview.html], [http://www.altera.com/devices/fpga/stratix-fpgas/stratix10/stx10-index.jsp]. No futuro qual será o tamanho do ...nada mais é que a ligação do circuito projetado com o pinos reais da placa FPGA.
    28 kB (4 468 palavras) - 15h04min de 30 de novembro de 2023
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 487 palavras) - 16h23min de 12 de abril de 2021
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 485 palavras) - 13h03min de 13 de junho de 2022
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 485 palavras) - 10h19min de 7 de fevereiro de 2022
  • ...todo o código seja testado em um simulador antes de ser sintetizado em um FPGA. Nesta aula será apresentado um rápido tutorial de modelsim. ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está
    15 kB (2 485 palavras) - 10h18min de 23 de agosto de 2021
  • ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está [2] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    15 kB (2 515 palavras) - 14h21min de 6 de novembro de 2023
  • ...ULA 18'''</span>[[DIG222802_2018_1_AULA14 | Dia 25/06/2018 - Introdução ao FPGA ]]
    7 kB (995 palavras) - 16h24min de 2 de julho de 2018
  • ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está [2] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    15 kB (2 511 palavras) - 14h18min de 29 de maio de 2023
  • ...implesmente rodar o simulador. Além disso não existem muitas interfaces da FPGA com o mundo externo, é praticamente impossível saber sobre tudo que está [2] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    15 kB (2 511 palavras) - 17h51min de 20 de novembro de 2022
  • ...ULA 19'''</span>[[DIG222802_2018_2_AULA14 | Dia 03/12/2018 - Introdução ao FPGA]] [[DIG222802_2018_2_AULA15 | | Laboratório 4 (REC) (Demux)]]
    8 kB (1 014 palavras) - 13h36min de 18 de dezembro de 2018
  • :* Programming and Configuring the FPGA Device
    5 kB (755 palavras) - 14h29min de 5 de maio de 2022
  • ...gister Transfer Methodology. Teste de circuitos digitais. Implementação em FPGA. Introdução a Linguagem de Descrição de Hardware.
    7 kB (944 palavras) - 18h12min de 26 de maio de 2023
  • * Processadores embarcados e depuração em FPGA ...tempos de propagação do caminho crítico nos somadores implementados usando FPGA da familia Ciclone e Ciclone IV E.
    22 kB (3 294 palavras) - 17h47min de 14 de fevereiro de 2017
  • ...08/16: Aula suspensa devido a participação no '''Treinamento em Tecnologia FPGA Altera'''. ...08/16: Aula suspensa devido a participação no '''Treinamento em Tecnologia FPGA Altera'''.
    12 kB (1 736 palavras) - 09h36min de 23 de fevereiro de 2021
  • ...222802_2_2016_2_AULA14 | Dia 06/12/2016 - Revisão para AT3 | Introdução ao FPGA ]]
    7 kB (1 075 palavras) - 13h46min de 22 de dezembro de 2016
  • <center> Quadro 1 - I/O Standards Support for FPGA I/O in Intel® Arria® 10 Devices ...ntent/www/us/en/docs/programmable/683461/current/i-o-standards-support-for-fpga-i-o-in.html Intel® Arria® 10 Core Fabric and General Purpose I/Os Handboo
    7 kB (1 003 palavras) - 18h13min de 28 de setembro de 2023
  • ...ULA 18'''</span>[[DI2022802_2020_1_AULA14 | Dia DD/MM/2020 - Introdução ao FPGA]]
    7 kB (1 062 palavras) - 14h41min de 10 de agosto de 2020
  • ...ULA 18'''</span>[[DIG222802_2019_1_AULA14 | Dia 24/06/2019 - Introdução ao FPGA]]
    8 kB (1 056 palavras) - 12h49min de 8 de julho de 2019
  • ...Modelsim da ALTERA. A síntese e programação dos blocos desenvolvidos em FPGA será realizada utilizando o software Quartus II da ALTERA. ...nda etapa serão sintetizados e programados no hardware programável do tipo FPGA.
    28 kB (4 173 palavras) - 14h30min de 9 de setembro de 2016
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    32 kB (5 445 palavras) - 15h41min de 6 de junho de 2017
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    34 kB (5 725 palavras) - 14h50min de 25 de outubro de 2018
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    34 kB (5 725 palavras) - 14h18min de 10 de abril de 2019
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    34 kB (5 725 palavras) - 12h59min de 16 de março de 2020
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    34 kB (5 725 palavras) - 12h01min de 30 de outubro de 2019
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    34 kB (5 725 palavras) - 13h59min de 28 de novembro de 2017
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    34 kB (5 725 palavras) - 20h24min de 15 de março de 2018
  • #O que significa CPLD, FPGA e VHDL?
    6 kB (984 palavras) - 10h59min de 28 de novembro de 2022
  • #O que significa CPLD, FPGA e VHDL?
    6 kB (984 palavras) - 13h17min de 6 de setembro de 2021
  • #O que significa CPLD, FPGA e VHDL?
    6 kB (984 palavras) - 15h04min de 6 de novembro de 2023
  • #O que significa CPLD, FPGA e VHDL?
    6 kB (984 palavras) - 13h00min de 13 de junho de 2022
  • #O que significa CPLD, FPGA e VHDL?
    6 kB (984 palavras) - 14h23min de 29 de maio de 2023
  • #O que significa CPLD, FPGA e VHDL?
    6 kB (984 palavras) - 10h21min de 7 de fevereiro de 2022
  • ...-studio/seeed-studio-sipeed-tang-nano-board/ Seeed Studio Sipeed Tang Nano FPGA Board]
    6 kB (880 palavras) - 20h25min de 2 de setembro de 2021
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    36 kB (5 925 palavras) - 16h57min de 12 de abril de 2021
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    36 kB (5 947 palavras) - 14h09min de 30 de agosto de 2021
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    36 kB (5 947 palavras) - 10h20min de 7 de fevereiro de 2022
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    36 kB (5 947 palavras) - 19h54min de 20 de julho de 2022
  • -- FPGA: Microsemi IGLOO AGLN250V2
    8 kB (1 090 palavras) - 18h53min de 17 de setembro de 2021
  • {{Cl|9 |6/3 | 2 | Laboratório 2 – Uso do software QSIM e Programação do FPGA | Laboratório de Programação}} {{Cl|9 |28/8 | 2 | Laboratório 2 – Uso do software QSIM e Programação do FPGA | Laboratório de Programação}}
    40 kB (5 103 palavras) - 22h27min de 7 de março de 2018
  • ...hardware necessário para implementar os circuitos utilizando a famílias de FPGA [CYCLONE]. Utilize sempre o menor '''Device''' que possua os elementos e p ...mo utilizar estes kits em '''[[Preparando para gravar o circuito lógico no FPGA]]''', one além de acesso aos manuais dos fabricantes, você tem acesso a u
    20 kB (3 112 palavras) - 13h36min de 8 de junho de 2021
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    36 kB (6 016 palavras) - 15h03min de 28 de novembro de 2022
  • ...tempos de propagação do caminho crítico nos somadores implementados usando FPGA da familia Ciclone e Ciclone IV E, Considerando um circuito com 8 entradas ...erentes a entrada do sinal e saída dos sinais até a região de interesse no FPGA.
    15 kB (2 231 palavras) - 13h26min de 22 de agosto de 2017
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    37 kB (6 091 palavras) - 16h09min de 19 de junho de 2023
  • ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof **Aqui é possível selecionar o FPGA a ser utilizado no projeto durante a sua criação. Mas, esta opção será
    37 kB (6 117 palavras) - 14h34min de 6 de novembro de 2023
  • ...do projeto, além do código VHDL e das simulações e teste utilizando um kit FPGA, cada equipe de apresentar um relatório com a descrição detalhada do pro {{collapse top | AE1 - Estudo do tipo de programação dos FPGA (prazo 20/02/2015)}}
    31 kB (4 716 palavras) - 15h01min de 16 de maio de 2016
  • ...-studio/seeed-studio-sipeed-tang-nano-board/ Seeed Studio Sipeed Tang Nano FPGA Board]
    7 kB (1 124 palavras) - 13h01min de 4 de abril de 2022
  • ...tempos de propagação do caminho crítico nos somadores implementados usando FPGA da familia Ciclone e Ciclone IV E, Considerando um circuito com 8 entradas ...erentes a entrada do sinal e saída dos sinais até a região de interesse no FPGA.
    17 kB (2 542 palavras) - 12h13min de 27 de novembro de 2017
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    22 kB (3 146 palavras) - 14h40min de 22 de julho de 2021
  • ...F23C7''') seguindo o roteiro:[[Preparando para gravar o circuito lógico no FPGA]] A avaliação será feita sobre a demostração de funcionamento do circuito no FPGA e em questões a serem respondidas individualmente pelos membros da equipe.
    14 kB (2 088 palavras) - 14h10min de 7 de março de 2016
  • {{Cl|38 |26/7 | 2 | U5 - Implementação em FPGA de um filtro de audio | Lab Programação, MATLAB e HDLCoder}}
    18 kB (2 655 palavras) - 19h03min de 7 de julho de 2017
  • :* Fluxo da síntese (RTL, mapeamento tecnológico (ASIC/FPGA) ...o para implementar os circuitos abaixo utilizando as seguintes famílias de FPGA [CYCLONE & STRATIX II]. Utilize sempre o menor '''Device''' de cada famíl
    24 kB (3 813 palavras) - 19h09min de 17 de agosto de 2016
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    24 kB (3 400 palavras) - 13h04min de 13 de junho de 2022
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    24 kB (3 400 palavras) - 11h06min de 31 de janeiro de 2022
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    24 kB (3 400 palavras) - 14h58min de 22 de julho de 2021
  • {{TCC2| Interface para Captura e Processamento de Imagens em FPGA | Vinícius Antônio Hames | Prof. Me. Diego da Silva de Medeiros || Prof. {{TCC2|Sistema de análise de sinais: Módulo de interface VGA em FPGA |Glaucio Bertelli Peres |Prof. Marcos Moecke | |Prof. Marcos Moecke, Prof.
    36 kB (5 463 palavras) - 16h52min de 18 de novembro de 2019
  • ...plataforma-alvo da competição é realizar partes do tratamento de imagem na FPGA, tornando-o mais veloz. Contudo, tal tarefa é dependente da integração d
    7 kB (1 164 palavras) - 11h42min de 9 de agosto de 2014
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    24 kB (3 473 palavras) - 15h42min de 3 de novembro de 2023
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    24 kB (3 471 palavras) - 08h58min de 22 de maio de 2023
  • ...ircuitos ASIC (por exemplo um i7 da Intel), quanto para desenvolvimento em FPGA. O resultado de uma “compilação” de um VHDL não é um executável ma :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca
    24 kB (3 471 palavras) - 17h33min de 20 de novembro de 2022
  • {{Egresso| Interface para Captura e Processamento de Imagens em FPGA | Vinícius Antônio Hames | Prof. Me. Diego da Silva de Medeiros || Prof. {{Egresso|Sistema de análise de sinais: Módulo de interface VGA em FPGA |Glaucio Bertelli Peres |Prof. Marcos Moecke | |Prof. Marcos Moecke, Prof.
    39 kB (5 524 palavras) - 12h49min de 20 de dezembro de 2021
  • ...mathworks.com/loren/2013/04/11/matlab-to-fpga-using-hdl-codertm/ MATLAB to FPGA using HDL Coder]
    10 kB (1 566 palavras) - 16h18min de 11 de novembro de 2020
  • ...-studio/seeed-studio-sipeed-tang-nano-board/ Seeed Studio Sipeed Tang Nano FPGA Board]
    9 kB (1 321 palavras) - 16h18min de 20 de novembro de 2023
  • ...gister Transfer Methodology. Teste de circuitos digitais. Implementação em FPGA. Introdução a Linguagem de Descrição de Hardware. *[https://www.intel.com/content/www/us/en/products/details/fpga/platforms.html See why Microsoft chose Intel FPGAs to accelerate their next
    51 kB (7 909 palavras) - 09h25min de 3 de maio de 2024
  • For Altera FPGA-based designs the delay information is available after the design is synthe
    12 kB (1 836 palavras) - 21h00min de 14 de setembro de 2022
  • ...-studio/seeed-studio-sipeed-tang-nano-board/ Seeed Studio Sipeed Tang Nano FPGA Board]
    9 kB (1 383 palavras) - 11h12min de 19 de fevereiro de 2024
  • ...-studio/seeed-studio-sipeed-tang-nano-board/ Seeed Studio Sipeed Tang Nano FPGA Board]
    9 kB (1 365 palavras) - 12h48min de 29 de março de 2023
  • **Placas FPGA
    13 kB (1 635 palavras) - 17h32min de 30 de junho de 2023
  • ...9780470054376 | 0|Roberto de Matos|DLP29007|c|7|# KILTS, Steve '''Advanced FPGA Design: Architecture, Implementation, and Optimization'''; 1ª ed. [S.l]:Wi ...BIOUL, Gery J.A.; SUTTER, Gustavo D. '''Synthesis of Arithmetic Circuits: FPGA, ASIC and Embedded Systems'''; ed. [S.l]:Wiley-Interscience, 2006. 808p.
    30 kB (3 379 palavras) - 17h10min de 11 de março de 2015
  • ::* Tecnologia FPGA Altera - [http://www.macnicadhw.com.br/ Macnica DHW], Florianópolis/SC - [ ...Antonio Hames''' - [[Interface para Captura e Processamento de Imagens em FPGA]]
    41 kB (5 991 palavras) - 15h26min de 9 de maio de 2024
  • ...m digital. Projeto de Filtros IIR e FIR. Implementação de filtros em DSP e FPGA.
    8 kB (1 244 palavras) - 15h37min de 10 de novembro de 2020
  • <big> Video motivational para apreender FPGA, VHDL [https://youtu.be/ecyyZ6zTLic Microsoft's Bing* Intelligent Search wi
    9 kB (1 515 palavras) - 08h40min de 17 de dezembro de 2020
  • A pinagem e conexão do FPGA com os circuitos externos no kit é indicada no [[Media:DS-S29804.pdf |Stra
    18 kB (3 008 palavras) - 12h47min de 4 de abril de 2016
  • ...circuito foi mapeado para os elementos lógicos disponíveis no dispositivo FPGA selecionado (EP1C3T100A8) ...o [[Node Properties]] como esse circuito é conectado dentro do dispositivo FPGA selecionado
    39 kB (5 960 palavras) - 00h29min de 11 de agosto de 2016
  • {{TCC2| Integração de ramais analógicos com FPGA utilizando processador softcore | RENAN RODOLFO DA SILVA | Prof. Roberto d ...Didático para Análise de Sinais no Domínio da Frequência: Implementação em FPGA | GUSTAVO PAULO MEDEIROS DA SILVA | Marcos Moecke | | Diego da Silva de Med
    25 kB (3 517 palavras) - 16h54min de 5 de março de 2024
  • ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max ::*[https://www.xilinx.com/products/silicon-devices/fpga.html Xilinx] - Virtex, Kintex, Artix, Zynq (SoC)
    52 kB (7 733 palavras) - 15h22min de 9 de fevereiro de 2017
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof
    29 kB (4 251 palavras) - 15h16min de 22 de novembro de 2016
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca ...a gravação do projeto em um dispositivo lógico programável como um CPLD ou FPGA. O Quartus®II trabalha com um sistema orientado a projetos, ou seja, o sof
    29 kB (4 250 palavras) - 20h27min de 7 de novembro de 2016
  • ...F23C7''') seguindo o roteiro:[[Preparando para gravar o circuito lógico no FPGA]]
    11 kB (1 783 palavras) - 09h40min de 23 de fevereiro de 2021
  • = Programando Localmente a FPGA via JTAG com o Quartus II da Nuvem = ...ê deseja utilizar o Quartus 13 ou 16 da nuvem para a programação remota do FPGA.
    52 kB (7 219 palavras) - 08h18min de 13 de dezembro de 2022
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    11 kB (1 873 palavras) - 14h23min de 16 de março de 2020
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    11 kB (1 859 palavras) - 19h25min de 30 de julho de 2019
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    11 kB (1 864 palavras) - 18h03min de 23 de agosto de 2016
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    11 kB (1 864 palavras) - 18h12min de 23 de agosto de 2016
  • ...ção, Gerenciamento e Monitoramento com o Laboratório Remoto de FPGAs (eLab FPGA) | MATHEUS MEDEIROS | Marcos Moecke | | 2022.1| 0-7 | | 0 }} {{TabTCC1|Integração de ramais analógicos com FPGA para processamento de áudio utilizando softcores | RENAN RODOLFO DA SILVA
    56 kB (8 181 palavras) - 12h18min de 4 de maio de 2024
  • ***Joao se interessou por pesquisar o kit fpga ***Nestas duas semanas joao vai estudar o site fpga para todos.
    22 kB (3 581 palavras) - 07h04min de 26 de outubro de 2017
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    60 kB (9 193 palavras) - 23h13min de 1 de dezembro de 2017
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    87 kB (13 598 palavras) - 15h07min de 12 de dezembro de 2018
  • ...ager'''. O sistema foi desenvolvido no kit didático Altera DE2-115, com um FPGA Cyclone IV EP4CE115F29C7.
    12 kB (2 036 palavras) - 14h49min de 15 de março de 2017
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    78 kB (12 255 palavras) - 01h15min de 6 de julho de 2018
  • ...amento de Voz e Imagem, Desenvolvimento de sistemas de telecomunicações em FPGA. [http://lattes.cnpq.br/6542999344939585]
    21 kB (3 140 palavras) - 13h38min de 22 de junho de 2023
  • ...erature/wp/wp-01139-timing-model.pdf Guaranteeing Silicon Performance with FPGA Timing Models] - ALTERA, August 2010.
    13 kB (2 109 palavras) - 15h25min de 14 de março de 2024
  • ...Didático para Análise de Sinais no Domínio da Frequência: Implementação em FPGA | GUSTAVO PAULO MEDEIROS DA SILVA | Marcos Moecke | | Diego da Silva de Med
    14 kB (1 858 palavras) - 13h02min de 20 de dezembro de 2021
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    122 kB (18 848 palavras) - 14h42min de 20 de abril de 2021
  • {{reftab | Projeto de circuitos digitais com FPGA | COSTA, Cesar da. | 1ª | | Érica | 2009 | 208 | 978-8536502397 | 621.38 {{reftab | Advanced FPGA Design: Architecture, Implementation, and Optimization | KILTS, Steve | |
    34 kB (3 659 palavras) - 19h29min de 19 de novembro de 2013
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    133 kB (20 679 palavras) - 20h17min de 17 de setembro de 2021
  • ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max ::*[https://www.xilinx.com/products/silicon-devices/fpga.html Xilinx] - Virtex, Kintex, Artix, Zynq (SoC)
    47 kB (7 099 palavras) - 18h10min de 7 de julho de 2017
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    109 kB (17 126 palavras) - 15h16min de 28 de junho de 2019
  • ...gister Transfer Methodology. Teste de circuitos digitais. Implementação em FPGA. Introdução a Linguagem de Descrição de Hardware. ...circuito foi mapeado para os elementos lógicos disponíveis no dispositivo FPGA selecionado. Selecione '''[Tools > Netlist Vieweres > Technology Map Viewe
    123 kB (17 620 palavras) - 18h02min de 6 de maio de 2024
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    14 kB (2 135 palavras) - 14h35min de 31 de julho de 2019
  • ...rocessadores embarcados em FPGA, projetos avançados de sistemas utilizando FPGA ...m digital. Projeto de Filtros IIR e FIR. Implementação de filtros em DSP e FPGA.
    66 kB (9 570 palavras) - 19h56min de 7 de outubro de 2011
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    117 kB (18 011 palavras) - 15h32min de 18 de agosto de 2020
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (2 985 palavras) - 08h57min de 31 de julho de 2017
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (2 985 palavras) - 12h41min de 31 de julho de 2017
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (3 042 palavras) - 14h02min de 23 de outubro de 2020
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (3 021 palavras) - 14h45min de 27 de fevereiro de 2019
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (3 039 palavras) - 11h47min de 10 de fevereiro de 2020
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (3 021 palavras) - 13h18min de 4 de fevereiro de 2019
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (3 021 palavras) - 13h03min de 29 de julho de 2019
  • ...mos dar uma olhada em nas linguagens de descrição de ''hardware'' e também FPGA.
    18 kB (3 040 palavras) - 13h13min de 1 de novembro de 2018
  • *[[Introdução à tecnologia FPGA ]]
    17 kB (2 497 palavras) - 13h41min de 14 de dezembro de 2013
  • ...grated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey] ::*[https://www.altera.com/products/fpga/overview.html ALTERA] - Stratix, Arria, Cyclone, Max
    126 kB (19 549 palavras) - 16h06min de 18 de maio de 2021
  • ...F23C7''') seguindo o roteiro:[[Preparando para gravar o circuito lógico no FPGA]] Projete e implemente na FPGA um conversor BCD (''Binary to Coded Decimal'') para SSD (''Seven Segment Di
    36 kB (5 066 palavras) - 17h31min de 7 de julho de 2015
  • ...ositivos lógicos programáveis do TCC [[Integração de ramais analógicos com FPGA utilizando processador softcore]], Renan Rodolfo da Silva. ::*[https://www.altera.com/products/fpga/overview.html ALTERA/INTEL] - Stratix, Arria, Cyclone, Max
    180 kB (28 202 palavras) - 09h20min de 1 de março de 2024
  • ...dor de Sinais Didático (Didactic Signal Analyzer - DSA) - Implementação em FPGA | Gustavo Paulo Medeiros da Silva | Marcos Moecke | | 09/02/2017 | 30/07/2
    27 kB (3 308 palavras) - 18h59min de 14 de março de 2017
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    21 kB (2 943 palavras) - 13h23min de 7 de outubro de 2019
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    21 kB (2 943 palavras) - 14h46min de 25 de outubro de 2018
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    21 kB (2 943 palavras) - 14h15min de 10 de abril de 2019
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    21 kB (2 959 palavras) - 17h54min de 22 de junho de 2020
  • Próxima aula vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    20 kB (3 428 palavras) - 15h35min de 4 de setembro de 2017
  • Próxima aula vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    20 kB (3 428 palavras) - 12h44min de 2 de maio de 2017
  • ...róxima aula de conteúdo, vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    21 kB (3 438 palavras) - 15h44min de 14 de maio de 2018
  • ...róxima aula de conteúdo, vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    21 kB (3 436 palavras) - 14h33min de 25 de outubro de 2018
  • ##Demostrar o funcionamento no kit de desenvolvimento com FPGA. #Utilizar um kit de desenvolvimento com FPGA disponibilizado pelo IFSC.
    92 kB (15 296 palavras) - 17h18min de 6 de novembro de 2023
  • ...róxima aula de conteúdo, vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    21 kB (3 525 palavras) - 17h30min de 11 de abril de 2019
  • ...m de descrição de hardware (VHDL e/ou Verilog) e em Ide de Desenvolvimento FPGA tem o que buscamos!
    25 kB (3 676 palavras) - 18h01min de 11 de junho de 2021
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    23 kB (3 199 palavras) - 12h50min de 16 de maio de 2017
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    23 kB (3 200 palavras) - 13h16min de 30 de outubro de 2017
  • :'''FPGA''' (''Field Programmable Gate Array'') Arranjo de portas programável em ca [1] http://www.embarcados.com.br/tutorial-de-modelsim-vhdl-fpga/
    23 kB (3 200 palavras) - 20h19min de 15 de março de 2018
  • ...róxima aula de conteúdo, vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    26 kB (4 338 palavras) - 15h49min de 8 de outubro de 2019
  • ...róxima aula de conteúdo, vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    26 kB (4 348 palavras) - 19h18min de 21 de outubro de 2019
  • ...róxima aula de conteúdo, vamos mudar o foco e introduzir ao estudo de VHDL/FPGA que é utilizado para atividades de projeto de hardware digital programáve
    28 kB (4 713 palavras) - 15h07min de 1 de junho de 2020
  • ...dor de Sinais Didático (Didactic Signal Analyzer - DSA) - Implementação em FPGA | Gustavo Paulo Medeiros da Silva | Marcos Moecke | | 09/02/2017 | 30/07/
    43 kB (5 276 palavras) - 08h50min de 19 de janeiro de 2018
  • ...ecke|DLP29006|c|6|# COSTA, César da. '''Projetos de circuitos digitais com FPGA'''; 35ª ed. São Paulo:Érica, 2009. 206p. ISBN 9788536502397. }} ...9780470054376 | 0|Roberto de Matos|DLP29007|c|7|# KILTS, Steve '''Advanced FPGA Design: Architecture, Implementation, and Optimization'''; 1ª ed. [S.l]:Wi
    139 kB (16 985 palavras) - 22h06min de 16 de abril de 2015

Ver (250 anteriores | próximos 250) (20 | 50 | 100 | 250 | 500)