Contribuições do(a) usuário(a)
Ir para navegação
Ir para pesquisar
- 11h09min de 3 de julho de 2016 dif his +885 N Carry Lookahead 8 bits Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...'
- 11h09min de 3 de julho de 2016 dif his +508 N Operador VHDL Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...' atual
- 11h08min de 3 de julho de 2016 dif his +869 N Carry Lookahead 4 bits Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...'
- 11h06min de 3 de julho de 2016 dif his +2 979 N Multiplier Criou página com '<syntaxhighlight lang=vhdl> LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; entity DUT_mult is GENERIC (n_DUT : INTEGER := 32; -- Dados seriais transmitidos bits_DUT : INTE...' atual
- 11h06min de 3 de julho de 2016 dif his +2 564 N Subtractor Criou página com '<syntaxhighlight lang=vhdl> LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; entity DUT_sub is GENERIC (bits_DUT : INTEGER := 5; -- Bits do contador interno log2(n) n_DUT : INTEG...' atual
- 11h05min de 3 de julho de 2016 dif his -936 Simulações
- 11h05min de 3 de julho de 2016 dif his +3 251 N Adder Criou página com '<syntaxhighlight lang=vhdl> LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; entity DUT_adder is GENERIC (n_DUT : INTEGER := 4; -- Dados seriais transmitidos bits_DUT : INTEGER :=...' atual
- 19h58min de 1 de julho de 2016 dif his +67 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 12h51min de 29 de junho de 2016 dif his -207 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 20h43min de 28 de junho de 2016 dif his -48 Simulações
- 20h42min de 28 de junho de 2016 dif his +317 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 22h12min de 27 de junho de 2016 dif his -1 756 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 18h13min de 17 de junho de 2016 dif his +135 G9:TCC de Kamila Rose da Silva →Documentações ALTERA
- 22h54min de 16 de junho de 2016 dif his +661 G9:TCC de Kamila Rose da Silva →Simulações
- 08h34min de 15 de junho de 2016 dif his +420 Simulações
- 22h45min de 14 de junho de 2016 dif his +1 G9:TCC de Kamila Rose da Silva →Documentações ALTERA
- 18h18min de 14 de junho de 2016 dif his -9 G9:TCC de Kamila Rose da Silva →Simulações
- 13h56min de 10 de junho de 2016 dif his 0 Somadores: Propagação →Carry-Ripple
- 21h38min de 9 de junho de 2016 dif his +1 Somadores: Propagação →Carry-Lookahead 8 bits
- 20h56min de 9 de junho de 2016 dif his 0 Somadores 128 bits: Área atual
- 22h53min de 8 de junho de 2016 dif his 0 Somadores 64 bits: Área atual
- 22h39min de 8 de junho de 2016 dif his 0 Somadores 32 bits: Área atual
- 22h34min de 8 de junho de 2016 dif his 0 Somadores 16 bits: Área atual
- 22h29min de 8 de junho de 2016 dif his 0 Somadores: Propagação →Carry-Lookahead 8 bits
- 21h42min de 8 de junho de 2016 dif his 0 Somadores 8 bits: Área atual
- 16h51min de 8 de junho de 2016 dif his +661 G9:TCC de Kamila Rose da Silva →Simulações
- 08h32min de 8 de junho de 2016 dif his 0 Somadores: Propagação →Carry-Ripple
- 08h31min de 8 de junho de 2016 dif his 0 Somadores: Propagação →Carry-Ripple
- 22h23min de 7 de junho de 2016 dif his +1 Somadores: Propagação →Carry-Lookahead 16 bits
- 09h49min de 18 de maio de 2016 dif his +51 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 08h32min de 18 de maio de 2016 dif his +122 Somadores: Propagação
- 08h32min de 18 de maio de 2016 dif his 0 Somadores 4 bits: Área atual
- 08h17min de 18 de maio de 2016 dif his -60 Somadores 8 bits: Área
- 08h05min de 18 de maio de 2016 dif his +81 Somadores: Propagação
- 23h12min de 17 de maio de 2016 dif his 0 Somadores 16 bits: Área
- 23h08min de 17 de maio de 2016 dif his +2 965 N Somadores 16 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Carry-Ripple 16 Bits |- !width="50"| Inst !width="50"| LE ...'
- 23h08min de 17 de maio de 2016 dif his +251 Somadores: Propagação
- 22h24min de 17 de maio de 2016 dif his +30 Simulações →Tabelas
- 22h23min de 17 de maio de 2016 dif his -2 Somadores: Propagação
- 22h23min de 17 de maio de 2016 dif his +504 Somadores 32 bits: Área
- 21h35min de 17 de maio de 2016 dif his +3 010 N Somadores 64 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Carry-Ripple 64 Bits |- !width="50"| Inst !width="50"| LE ...'
- 21h34min de 17 de maio de 2016 dif his +1 131 Somadores: Propagação
- 20h50min de 17 de maio de 2016 dif his +514 Somadores 128 bits: Área
- 20h41min de 17 de maio de 2016 dif his +98 Simulações →Tabelas
- 08h10min de 11 de maio de 2016 dif his -1 Subtratores 32 bits: Área atual
- 08h10min de 11 de maio de 2016 dif his +1 Subtratores: Propagação atual
- 08h00min de 11 de maio de 2016 dif his +509 Somadores 32 bits: Área
- 08h00min de 11 de maio de 2016 dif his +22 Somadores: Propagação
- 23h46min de 10 de maio de 2016 dif his +55 Subtratores: Propagação
- 23h41min de 10 de maio de 2016 dif his -2 Subtratores 128 bits: Área atual
- 22h56min de 10 de maio de 2016 dif his +989 N Subtratores 8 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Operador 8 Bits |- !width="50"| Inst !width="50"| LE !widt...' atual
- 22h56min de 10 de maio de 2016 dif his +2 512 N Somadores 8 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Carry-Ripple 8 Bits |- !width="50"| Inst !width="50"| LE !...'
- 22h56min de 10 de maio de 2016 dif his +95 Simulações →Tabelas
- 22h22min de 10 de maio de 2016 dif his +517 Somadores 128 bits: Área
- 22h21min de 10 de maio de 2016 dif his +725 Somadores: Propagação
- 09h11min de 4 de maio de 2016 dif his +163 Subtratores: Propagação
- 09h11min de 4 de maio de 2016 dif his -214 Subtratores 4 bits: Área atual
- 09h07min de 4 de maio de 2016 dif his +973 N Subtratores 32 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Operador 32 Bits |- !width="50"| Inst !width="50"| LE !wid...'
- 08h52min de 4 de maio de 2016 dif his +1 175 N Subtratores 4 bits: Área Criou página com '==Operador== {| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="1" style="background: #efefef;" | Bits ! colspan="1" style="background: #efefef...'
- 22h10min de 3 de maio de 2016 dif his +993 N Subtratores 128 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Operador 128 Bits |- !width="50"| Inst !width="50"| LE !wi...'
- 22h08min de 3 de maio de 2016 dif his +1 012 N Subtratores: Propagação Criou página com '==Operador== {| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="1" style="background: #efefef;" | Bits ! colspan="1" style="background: #efefef...'
- 19h18min de 3 de maio de 2016 dif his +410 Simulações
- 19h13min de 3 de maio de 2016 dif his +1 915 N Somadores 4 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Carry-Ripple 4 Bits |- !width="50"| Inst !width="50"| LE !...'
- 19h09min de 3 de maio de 2016 dif his +165 Somadores: Propagação
- 18h32min de 3 de maio de 2016 dif his +50 Simulações →Somadores
- 18h31min de 3 de maio de 2016 dif his +1 956 N Somadores 32 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Carry-Ripple 32 Bits |- !width="50"| Inst !width="50"| LE ...'
- 18h30min de 3 de maio de 2016 dif his +171 Somadores: Propagação
- 17h31min de 3 de maio de 2016 dif his +2 050 N Somadores: Propagação Criou página com '==Carry-Ripple== {| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="1" style="background: #efefef;" | Bits ! colspan="1" style="background: #ef...'
- 17h27min de 3 de maio de 2016 dif his +2 005 N Somadores 128 bits: Área Criou página com '{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="8" style="background: #efefef;" | Carry-Ripple 128 Bits |- !width="50"| Inst !width="50"| LE ...'
- 16h21min de 3 de maio de 2016 dif his -1 071 Simulações →Somadores
- 14h19min de 2 de maio de 2016 dif his -239 Simulações →Somadores
- 17h34min de 1 de maio de 2016 dif his +855 Simulações →Somadores
- 17h17min de 1 de maio de 2016 dif his +477 Simulações →Somadores
- 11h09min de 26 de abril de 2016 dif his +261 Deserial/Serial - Pedroni VHDL →Simulações atual
- 14h52min de 23 de abril de 2016 dif his +54 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 14h36min de 12 de abril de 2016 dif his -40 Circuito Somador Carry Ripple - Pedroni →Simulações atual
- 14h34min de 12 de abril de 2016 dif his -14 Circuito Somador Carry Ripple - Pedroni →Simulações
- 13h48min de 12 de abril de 2016 dif his +47 Circuito Somador Carry Ripple - Pedroni →Simulações
- 10h16min de 11 de abril de 2016 dif his +135 Circuito Somador Carry Ripple - Pedroni →Simulações
- 10h10min de 11 de abril de 2016 dif his +159 Circuito Somador e Subtrator - Pedroni →Simulações atual
- 10h05min de 11 de abril de 2016 dif his -1 Circuito Somador e Subtrator - Pedroni →VHDL
- 10h04min de 11 de abril de 2016 dif his +199 Circuito Somador e Subtrator - Pedroni →Simulações
- 09h54min de 11 de abril de 2016 dif his -2 Circuito Somador Carry Ripple - Pedroni →Testbench
- 09h54min de 11 de abril de 2016 dif his +142 Circuito Somador Carry Ripple - Pedroni →Simulações
- 09h41min de 11 de abril de 2016 dif his +22 Circuito Somador Carry Ripple - Pedroni →Simulações
- 22h16min de 10 de abril de 2016 dif his -2 Circuito Somador e Subtrator - Pedroni →Simulações
- 22h16min de 10 de abril de 2016 dif his +89 Circuito Somador e Subtrator - Pedroni
- 21h44min de 10 de abril de 2016 dif his 0 N Arquivo:Somador chip.png atual
- 21h36min de 10 de abril de 2016 dif his -14 Simulações
- 18h49min de 9 de abril de 2016 dif his +111 Circuito Somador e Subtrator - Pedroni
- 18h40min de 9 de abril de 2016 dif his +66 Deserial/Serial - Pedroni VHDL →Simulações
- 18h09min de 9 de abril de 2016 dif his +55 Deserial/Serial - Pedroni VHDL
- 18h08min de 9 de abril de 2016 dif his 0 N Arquivo:Deserial chip.png atual
- 18h07min de 9 de abril de 2016 dif his +129 Deserial/Serial - Pedroni VHDL →Simulações
- 00h14min de 9 de abril de 2016 dif his +52 Circuito Somador Carry Ripple - Pedroni →Simulações
- 00h10min de 9 de abril de 2016 dif his +7 Circuito Somador Carry Ripple - Pedroni →Simulações
- 00h01min de 9 de abril de 2016 dif his +100 Circuito Somador Carry Ripple - Pedroni →Simulações
- 23h50min de 8 de abril de 2016 dif his -70 Circuito Somador Carry Ripple - Pedroni
- 23h50min de 8 de abril de 2016 dif his 0 N Arquivo:Carry ripple chip.png atual
- 23h45min de 8 de abril de 2016 dif his -8 Deserial/Serial - Pedroni VHDL →Simulações
- 23h45min de 8 de abril de 2016 dif his -97 Circuito Somador Carry Ripple - Pedroni →Simulações
- 23h40min de 8 de abril de 2016 dif his -249 Deserial/Serial - Pedroni VHDL
- 23h39min de 8 de abril de 2016 dif his +28 Deserial/Serial - Pedroni VHDL →Simulações
- 21h40min de 5 de abril de 2016 dif his 0 Deserial/Serial - Pedroni VHDL →Testbench
- 21h39min de 5 de abril de 2016 dif his -54 Deserial/Serial - Pedroni VHDL →Testbench
- 21h39min de 5 de abril de 2016 dif his -177 Deserial/Serial - Pedroni VHDL →Testbench
- 20h37min de 5 de abril de 2016 dif his +7 Deserial/Serial - Pedroni VHDL →Simulações
- 20h18min de 5 de abril de 2016 dif his -2 Deserial/Serial - Pedroni VHDL →Testbench
- 20h17min de 5 de abril de 2016 dif his 0 N Arquivo:ReportPDeserial8bits.png atual
- 20h11min de 5 de abril de 2016 dif his 0 Deserial/Serial - Pedroni VHDL →Testbench
- 20h10min de 5 de abril de 2016 dif his 0 N Arquivo:ReportTDeserial-8bits.png atual
- 20h09min de 5 de abril de 2016 dif his -18 Deserial/Serial - Pedroni VHDL →Testbench
- 20h06min de 5 de abril de 2016 dif his +277 Deserial/Serial - Pedroni VHDL →Testbench
- 19h59min de 5 de abril de 2016 dif his 0 N Arquivo:ReportTDeserial8bits.png atual
- 19h56min de 5 de abril de 2016 dif his 0 N Arquivo:ReportDeserial-8bits.png atual
- 19h28min de 5 de abril de 2016 dif his +1 938 Deserial/Serial - Pedroni VHDL →Testbench
- 19h27min de 5 de abril de 2016 dif his +2 012 Deserial/Serial - Pedroni VHDL →VHDL
- 19h25min de 5 de abril de 2016 dif his +11 Deserial/Serial - Pedroni VHDL →Simulações
- 16h18min de 4 de abril de 2016 dif his +150 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 10h13min de 1 de abril de 2016 dif his -257 m G9:TCC de Kamila Rose da Silva →Definições
- 10h13min de 1 de abril de 2016 dif his +94 G9:TCC de Kamila Rose da Silva →Documentações ALTERA
- 09h40min de 1 de abril de 2016 dif his +91 G9:TCC de Kamila Rose da Silva →Simulações
- 09h39min de 1 de abril de 2016 dif his +664 G9:TCC de Kamila Rose da Silva →Definições
- 09h07min de 1 de abril de 2016 dif his +96 Deserial/Serial - Pedroni VHDL →Testbench
- 09h06min de 1 de abril de 2016 dif his +18 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 20h54min de 31 de março de 2016 dif his +9 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 20h53min de 31 de março de 2016 dif his +128 G9:TCC de Kamila Rose da Silva →Simulações
- 20h51min de 31 de março de 2016 dif his +72 G9:TCC de Kamila Rose da Silva →Simulações
- 20h29min de 31 de março de 2016 dif his +393 G9:TCC de Kamila Rose da Silva →Simulações
- 10h19min de 31 de março de 2016 dif his -1 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 10h19min de 31 de março de 2016 dif his +126 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 09h43min de 31 de março de 2016 dif his +31 m Deserial/Serial - Pedroni VHDL →Simulações
- 10h29min de 30 de março de 2016 dif his -2 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 10h28min de 30 de março de 2016 dif his -438 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 07h44min de 30 de março de 2016 dif his -844 Deserial/Serial - Pedroni VHDL →VHDL
- 07h43min de 30 de março de 2016 dif his +1 728 N Deserial/Serial - Pedroni VHDL Criou página com '__NOTOC__ ==Estrutura== *Circuito deserializador/serializador (Recebe logic, sai logic_vector) *Possui um contador de teste afim de depuração (Exemplo do Loop: conta até 3 e j...'
- 07h42min de 30 de março de 2016 dif his -52 Simulações →Serializador
- 07h41min de 30 de março de 2016 dif his -1 715 Circuito Deserializador - Pedroni VHDL Limpou toda a página atual
- 07h41min de 30 de março de 2016 dif his -1 752 Circuito Serializador - Pedroni VHDL Limpou toda a página atual
- 11h19min de 29 de março de 2016 dif his -979 G9:TCC de Kamila Rose da Silva
- 11h13min de 29 de março de 2016 dif his +958 G9:TCC de Kamila Rose da Silva →TCC-1
- 11h12min de 29 de março de 2016 dif his -27 G9:TCC de Kamila Rose da Silva →Resumo Estendido e TCC1
- 11h10min de 29 de março de 2016 dif his -84 G9:TCC de Kamila Rose da Silva →Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis
- 11h07min de 29 de março de 2016 dif his -495 G9:TCC de Kamila Rose da Silva →Subtractions
- 11h07min de 29 de março de 2016 dif his -36 G9:TCC de Kamila Rose da Silva →Codes
- 11h07min de 29 de março de 2016 dif his -19 313 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 11h07min de 29 de março de 2016 dif his -10 816 G9:TCC de Kamila Rose da Silva →Adders
- 11h01min de 29 de março de 2016 dif his +1 239 Circuito Serializador - Pedroni VHDL
- 11h00min de 29 de março de 2016 dif his -653 Circuito Deserializador - Pedroni VHDL
- 10h54min de 29 de março de 2016 dif his +1 855 Circuito Deserializador - Pedroni VHDL →Código VHDL
- 10h54min de 29 de março de 2016 dif his +1 Simulações →Serializers
- 10h51min de 29 de março de 2016 dif his -49 G9:TCC de Kamila Rose da Silva →Referências Bibliográficas:
- 10h51min de 29 de março de 2016 dif his +98 G9:TCC de Kamila Rose da Silva →Links importantes
- 10h50min de 29 de março de 2016 dif his -290 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 10h50min de 29 de março de 2016 dif his -1 764 G9:TCC de Kamila Rose da Silva →Somadores
- 10h03min de 29 de março de 2016 dif his +20 Circuito Somador Carry Ripple - Pedroni →Testbench
- 11h00min de 24 de fevereiro de 2016 dif his 0 G9:TCC de Kamila Rose da Silva →Definições
- 10h45min de 24 de fevereiro de 2016 dif his +257 G9:TCC de Kamila Rose da Silva
- 10h37min de 24 de fevereiro de 2016 dif his +38 Circuito Somador Carry Ripple - Pedroni
- 10h37min de 24 de fevereiro de 2016 dif his +1 416 Circuito Somador Carry Ripple - Pedroni →Testbench
- 10h36min de 24 de fevereiro de 2016 dif his 0 N Arquivo:Carry ripple time.png atual
- 10h34min de 24 de fevereiro de 2016 dif his 0 N Arquivo:Carry ripple func.png atual
- 18h25min de 4 de janeiro de 2016 dif his +159 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 14h17min de 22 de dezembro de 2015 dif his +513 N Circuito Deserializador - Pedroni VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> </syntaxhighlight> ==Testbench== *Código *Resultado (print) ==Simulações== {| border="1" cellpadding="5" cellspacing="0" s...'
- 14h16min de 22 de dezembro de 2015 dif his +513 N Circuito Serializador - Pedroni VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> </syntaxhighlight> ==Testbench== *Código *Resultado (print) ==Simulações== {| border="1" cellpadding="5" cellspacing="0" s...'
- 14h16min de 22 de dezembro de 2015 dif his +103 Simulações →Multiplicadores
- 13h50min de 9 de dezembro de 2015 dif his +915 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 16h36min de 7 de dezembro de 2015 dif his +803 N Op. Lógico - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Pedroni - 491 --8 bits: library ieee; use ieee.std_logic_1164.all; entity add_sub is generic (n: integer := 8); po...' atual
- 16h35min de 7 de dezembro de 2015 dif his +27 Simulações →Somadores
- 16h33min de 7 de dezembro de 2015 dif his -14 Simulações →Somadores
- 16h33min de 7 de dezembro de 2015 dif his +4 235 N Circuito Multiplicador - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> ---------------------------------------------------------------------------- -- parallel_csa_multiplier.vhd -- -- sectio...' atual
- 16h31min de 7 de dezembro de 2015 dif his +4 459 N Circuito Multiplicador Desloca e Soma CSA - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/199 -- ---------------------------------------------------------------------------- -- sequential_CSA_mult...' atual
- 16h29min de 7 de dezembro de 2015 dif his +3 576 N Circuito Multiplicador Desloca e Soma - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/196 -- ---------------------------------------------------------------------------- -- shift_and_add_multi...' atual
- 16h28min de 7 de dezembro de 2015 dif his +2 602 N Carry-Save Parallel Multipler - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/187 -- ---------------------------------------------------------------------------- -- parallel_csa_multip...' atual
- 16h28min de 7 de dezembro de 2015 dif his +2 227 N Multiplicador Paralelo Carry Ripple - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/186 -- --------------------------------------------------------------------------- -- parallel_multiplier....' atual
- 16h25min de 7 de dezembro de 2015 dif his +1 827 N Circuito Multiplicador - Pedroni-VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: PedroniVHDL/77 --4 bits ---------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_11...' atual
- 16h24min de 7 de dezembro de 2015 dif his +967 N Ponto Fixo - Pedroni-VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: PedroniVHDL/57 --8 bits -------------------------------------- LIBRARY ieee_proposed; USE ieee_proposed.fixed_pk...' atual
- 16h22min de 7 de dezembro de 2015 dif his +764 N Circuito Multiplicador - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/333 --32 bits library ieee; use ieee.numeric_bit.all; entity multiplier is port( a,b: in unsigned (31 d...' atual
- 16h21min de 7 de dezembro de 2015 dif his +2 415 N Arranjo de Multiplicadores - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/218 --4 bits library ieee; use ieee.numeric_bit.all; entity array_mult is port( x,y : in bit_vector(3 d...' atual
- 16h19min de 7 de dezembro de 2015 dif his +64 Simulações →Multiplicadores
- 14h37min de 7 de dezembro de 2015 dif his +125 Circuito Somador e Subtrator - Pedroni
- 22h35min de 5 de dezembro de 2015 dif his +1 192 Circuito Somador - Guide Desfeita a edição 99496 de Kamila.r (Discussão) atual
- 22h34min de 5 de dezembro de 2015 dif his -1 192 Circuito Somador - Guide Limpou toda a página
- 22h32min de 5 de dezembro de 2015 dif his +1 192 N Circuito Somador - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/175 --8 bits ---------------------------------------------------------------------------- -- two_s_comp_ad...'
- 22h31min de 5 de dezembro de 2015 dif his +2 756 N Circuito Somador Carry Ripple - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/159 --m*k bits ---------------------------------------------------------------------------- -- carry_selec...' atual
- 22h25min de 5 de dezembro de 2015 dif his +1 402 N Circuito Somador Carry Lookahead - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/490 --4 bits carry-lookahead --Uso do component library ieee; use ieee.std_logic_1164.all; entity carry...' atual
- 22h24min de 5 de dezembro de 2015 dif his -10 Simulações
- 22h18min de 5 de dezembro de 2015 dif his -95 G9:TCC de Kamila Rose da Silva
- 22h16min de 5 de dezembro de 2015 dif his -3 411 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h15min de 5 de dezembro de 2015 dif his -4 927 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 22h12min de 5 de dezembro de 2015 dif his +1 098 N Circuito Somador e Subtrator - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/491 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity add_sub is ...'
- 22h12min de 5 de dezembro de 2015 dif his +1 523 N Circuito Somador BCD - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/194 --8 bits library ieee; use ieee.numeric_bit.all; entity bcd_adder is port (x,y : in unsigned (7 dow...' atual
- 22h11min de 5 de dezembro de 2015 dif his +896 N Circuito Somador - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/199 --32 bits library ieee; use ieee.numeric_bit.all; entity adder is port( a,b: in unsigned (31 downt...' atual
- 22h10min de 5 de dezembro de 2015 dif his +1 071 N Circuito Somador e Subtrator 2 - Chu Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/171 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...' atual
- 22h09min de 5 de dezembro de 2015 dif his +985 N Circuito Somador e Subtrator - Chu Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/172 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...' atual
- 22h00min de 5 de dezembro de 2015 dif his +37 Circuito Somador Carry Ripple - Pedroni →Código VHDL
- 19h27min de 5 de dezembro de 2015 dif his +27 Circuito Somador Carry Ripple - Pedroni →Simulações
- 18h43min de 5 de dezembro de 2015 dif his +231 Circuito Somador Carry Ripple - Pedroni →Estrutura
- 18h30min de 5 de dezembro de 2015 dif his +1 172 N Circuito Somador Carry Ripple - Pedroni Criou página com '__NOTOC__ ==Estrutura== * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/488 --8 bits library ieee; use ieee.std_logic_1164.all; entity carry_ripple_adder is generic (n: integer ...'
- 18h24min de 5 de dezembro de 2015 dif his +1 Simulações
- 18h22min de 5 de dezembro de 2015 dif his +859 N Simulações Criou página com '__NOTOC__ ==Circuito Somador e Subtrator - CHU== ==Circuito Somador e Subtrator 2 - CHU== ==Circuito Somador - JOHN== ==Circuito Somador BCD - JOHN== ==[[Circ...'
- 18h18min de 5 de dezembro de 2015 dif his +17 G9:TCC de Kamila Rose da Silva →Estudos de implementações existentes
- 17h36min de 3 de dezembro de 2015 dif his +1 323 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 17h54min de 10 de novembro de 2015 dif his +129 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 23h04min de 7 de novembro de 2015 dif his +2 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 23h03min de 7 de novembro de 2015 dif his +7 841 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 22h59min de 7 de novembro de 2015 dif his 0 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h58min de 7 de novembro de 2015 dif his +3 555 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h53min de 7 de novembro de 2015 dif his +4 862 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 21h43min de 7 de novembro de 2015 dif his +3 151 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 17h58min de 7 de novembro de 2015 dif his +2 203 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 17h50min de 7 de novembro de 2015 dif his +21 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 17h46min de 7 de novembro de 2015 dif his +5 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 17h45min de 7 de novembro de 2015 dif his +1 784 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 21h12min de 6 de novembro de 2015 dif his +81 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 21h05min de 6 de novembro de 2015 dif his +757 G9:TCC de Kamila Rose da Silva →Adders
- 19h58min de 6 de novembro de 2015 dif his +2 328 G9:TCC de Kamila Rose da Silva →Adders
- 19h37min de 6 de novembro de 2015 dif his +2 G9:TCC de Kamila Rose da Silva →Adders
- 19h20min de 6 de novembro de 2015 dif his +2 184 G9:TCC de Kamila Rose da Silva →Adders
- 19h14min de 6 de novembro de 2015 dif his +565 G9:TCC de Kamila Rose da Silva →Estudos de implementações existentes
- 21h37min de 3 de novembro de 2015 dif his +794 G9:TCC de Kamila Rose da Silva →Referências Bibliográficas:
- 21h31min de 3 de novembro de 2015 dif his -49 G9:TCC de Kamila Rose da Silva →Estudos de implementações existentes
- 21h31min de 3 de novembro de 2015 dif his +10 m G9:TCC de Kamila Rose da Silva
- 21h29min de 3 de novembro de 2015 dif his +3 952 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 21h22min de 3 de novembro de 2015 dif his +51 G9:TCC de Kamila Rose da Silva →Links importantes
- 21h21min de 3 de novembro de 2015 dif his +25 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 21h20min de 3 de novembro de 2015 dif his -753 G9:TCC de Kamila Rose da Silva →Planejamento TTC2:
- 21h18min de 3 de novembro de 2015 dif his +2 265 G9:TCC de Kamila Rose da Silva →Somadores
- 21h02min de 3 de novembro de 2015 dif his +46 G9:TCC de Kamila Rose da Silva →Defesa TCC-1
- 18h40min de 2 de novembro de 2015 dif his -3 G9:TCC de Kamila Rose da Silva →Orientação
- 07h44min de 23 de outubro de 2015 dif his +54 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 14h57min de 22 de outubro de 2015 dif his +19 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 10h49min de 17 de outubro de 2015 dif his +75 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 23h39min de 16 de outubro de 2015 dif his 0 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 21h05min de 16 de outubro de 2015 dif his -101 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 21h01min de 16 de outubro de 2015 dif his +944 G9:TCC de Kamila Rose da Silva →Seminário TCC
- 20h35min de 16 de outubro de 2015 dif his -435 Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO →CRONOGRAMA
- 20h31min de 16 de outubro de 2015 dif his +435 Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO →CRONOGRAMA
- 20h04min de 16 de outubro de 2015 dif his 0 Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO →CRONOGRAMA
- 18h11min de 6 de outubro de 2015 dif his 0 Experimento 11 para Circuitos Lógicos →Inserir diagrama esquemático de um circuito lógico com o Quartus II atual
- 17h40min de 6 de outubro de 2015 dif his -3 Experimento 11 para Circuitos Lógicos →Inserir diagrama esquemático de um circuito lógico com o Quartus II
- 17h39min de 6 de outubro de 2015 dif his +4 Experimento 11 para Circuitos Lógicos →Inserir diagrama esquemático de um circuito lógico com o Quartus II
- 19h48min de 3 de outubro de 2015 dif his +38 G9:TCC de Kamila Rose da Silva →Planejamento TTC2:
- 18h13min de 28 de setembro de 2015 dif his +612 G9:TCC de Kamila Rose da Silva
- 21h03min de 19 de setembro de 2015 dif his +198 G9:TCC de Kamila Rose da Silva →Planejamento TTC2:
- 20h53min de 8 de setembro de 2015 dif his +19 G9:TCC de Kamila Rose da Silva →Planejamento TTC2:
- 18h57min de 7 de setembro de 2015 dif his +33 G9:TCC de Kamila Rose da Silva →Planejamento TTC2:
- 18h52min de 7 de setembro de 2015 dif his +884 G9:TCC de Kamila Rose da Silva →Estudos de implementações existentes
- 18h42min de 7 de setembro de 2015 dif his +2 534 G9:TCC de Kamila Rose da Silva →Somadores
- 17h57min de 7 de setembro de 2015 dif his +53 G9:TCC de Kamila Rose da Silva
- 13h49min de 1 de setembro de 2015 dif his -269 G9:TCC de Kamila Rose da Silva
- 18h00min de 19 de agosto de 2015 dif his +299 G9:TCC de Kamila Rose da Silva
- 17h49min de 19 de agosto de 2015 dif his +33 G9:TCC de Kamila Rose da Silva
- 20h07min de 18 de agosto de 2015 dif his +152 G9:TCC de Kamila Rose da Silva →Planejamento TTC2:
- 20h04min de 18 de agosto de 2015 dif his +301 G9:TCC de Kamila Rose da Silva →Defesa TCC-1:
- 18h41min de 17 de agosto de 2015 dif his +17 Experimento 1 para Circuitos Lógicos →Gerar formas de onda para entrada de simulação usando o QSIM - Quartus II atual
- 21h46min de 2 de julho de 2015 dif his +134 G9:TCC de Kamila Rose da Silva
- 21h39min de 2 de julho de 2015 dif his +910 G9:TCC de Kamila Rose da Silva
- 19h28min de 22 de junho de 2015 dif his +63 G9:TCC de Kamila Rose da Silva →Links importantes
- 13h31min de 19 de junho de 2015 dif his -7 PROJETO FINAL - Grupo 2 - SST atual
- 20h23min de 15 de junho de 2015 dif his +9 692 PROJETO FINAL - Grupo 2 - SST →VHDL
- 20h18min de 15 de junho de 2015 dif his +43 PROJETO FINAL - Grupo 2 - SST →VHDL
- 20h08min de 15 de junho de 2015 dif his -17 PROJETO FINAL - Grupo 2 - SST →VHDL
- 20h06min de 15 de junho de 2015 dif his +1 242 PROJETO FINAL - Grupo 2 - SST
- 19h40min de 8 de junho de 2015 dif his -612 G9:TCC de Kamila Rose da Silva →Planejamento:
- 19h40min de 8 de junho de 2015 dif his +148 G9:TCC de Kamila Rose da Silva →Links importantes
- 18h58min de 5 de junho de 2015 dif his +87 G9:TCC de Kamila Rose da Silva →Links importantes
- 18h11min de 2 de junho de 2015 dif his +158 G9:TCC de Kamila Rose da Silva
- 13h50min de 2 de junho de 2015 dif his +98 PROJETO FINAL - Grupo 2 - SST
- 13h48min de 2 de junho de 2015 dif his 0 N Arquivo:Wave relogioDigital.png atual
- 20h40min de 1 de junho de 2015 dif his 0 N Arquivo:Pinagem relogioDigital.png atual
- 20h05min de 31 de maio de 2015 dif his 0 PROJETO FINAL - Grupo 2 - SST →Diagrama de Estados
- 20h05min de 31 de maio de 2015 dif his +268 N PROJETO FINAL - Grupo 2 - SST Criou página com '__NOTOC__ ==Projeto Final - Grupo 2 == Alunos: Kamila, Leonardo Oliveira e Renan; ==Diagrama de Estados== 900px|center ==Formas de onda== [[Arqui...'
- 20h04min de 31 de maio de 2015 dif his 0 Arquivo:Diag estados.png foi enviada uma nova versão de "Arquivo:Diag estados.png" atual
- 20h03min de 31 de maio de 2015 dif his 0 N Arquivo:Diag estados.png
- 19h58min de 31 de maio de 2015 dif his +44 Predefinição:DiegoMedeiros-SST20707 →Avaliação 3
- 19h18min de 31 de maio de 2015 dif his +4 G9:TCC de Kamila Rose da Silva →Orientação
- 19h17min de 31 de maio de 2015 dif his +247 G9:TCC de Kamila Rose da Silva →Orientação
- 14h29min de 14 de maio de 2015 dif his -15 G9:TCC de Kamila Rose da Silva →Planejamento:
- 17h41min de 9 de maio de 2015 dif his +2 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 17h25min de 9 de maio de 2015 dif his -207 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 17h06min de 9 de maio de 2015 dif his +296 G9:TCC de Kamila Rose da Silva
- 01h36min de 9 de maio de 2015 dif his +51 G9:TCC de Kamila Rose da Silva
- 01h34min de 9 de maio de 2015 dif his +1 G9:TCC de Kamila Rose da Silva
- 01h33min de 9 de maio de 2015 dif his -77 G9:TCC de Kamila Rose da Silva
- 01h33min de 9 de maio de 2015 dif his +47 Usuário:Kamila.r atual
- 21h23min de 8 de maio de 2015 dif his +5 G9:TCC de Kamila Rose da Silva →Orientação
- 21h22min de 8 de maio de 2015 dif his +11 G9:TCC de Kamila Rose da Silva →Orientação
- 21h22min de 8 de maio de 2015 dif his -51 G9:TCC de Kamila Rose da Silva →Anotações:
- 20h14min de 8 de maio de 2015 dif his +32 Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO →RESUMO ESTENDIDO
- 20h13min de 8 de maio de 2015 dif his +111 N Estudo de circuitos aritméticos e implementação em DLP moveu Estudo de circuitos aritméticos e implementação em DLP para Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis atual
- 20h13min de 8 de maio de 2015 dif his 0 m Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO moveu Estudo de circuitos aritméticos e implementação em DLP para Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis
- 19h46min de 27 de abril de 2015 dif his +36 G9:TCC de Kamila Rose da Silva →Planejamento:
- 19h46min de 27 de abril de 2015 dif his +10 G9:TCC de Kamila Rose da Silva →Planejamento:
- 17h25min de 22 de abril de 2015 dif his -5 G9:TCC de Kamila Rose da Silva →Planejamento:
- 23h31min de 20 de abril de 2015 dif his -45 G9:TCC de Kamila Rose da Silva
- 23h40min de 18 de abril de 2015 dif his +49 G9:TCC de Kamila Rose da Silva →Anotações:
- 23h15min de 17 de abril de 2015 dif his +10 G9:TCC de Kamila Rose da Silva →Anotações:
- 22h30min de 17 de abril de 2015 dif his 0 TCC do CST em Sistemas de Telecomunicações - em andamento →TCC 1
- 22h07min de 17 de abril de 2015 dif his +3 G9:TCC de Kamila Rose da Silva
- 22h06min de 17 de abril de 2015 dif his +145 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 21h46min de 16 de abril de 2015 dif his -3 Avaliação 1 - SST
- 21h18min de 16 de abril de 2015 dif his +32 Avaliação 1 - SST
- 20h08min de 16 de abril de 2015 dif his +40 Avaliação 1 - SST
- 20h07min de 16 de abril de 2015 dif his 0 Predefinição:DiegoMedeiros-SST20707 →Avaliação 2 - Sistemas sequenciais
- 20h06min de 16 de abril de 2015 dif his 0 Predefinição:DiegoMedeiros-SST20707 →Avaliação 2 - Sistemas sequenciais
- 20h05min de 16 de abril de 2015 dif his +1 580 Avaliação 1 - SST
- 20h05min de 16 de abril de 2015 dif his 0 N Arquivo:Chavedebouncer2.png atual
- 19h51min de 16 de abril de 2015 dif his 0 Arquivo:CircuitoAntiRepique.png foi enviada uma nova versão de "Arquivo:CircuitoAntiRepique.png" atual
- 19h34min de 16 de abril de 2015 dif his 0 N Arquivo:CircuitoAntiRepique.png
- 19h33min de 16 de abril de 2015 dif his 0 N Arquivo:Chavedebouncer.png atual
- 19h26min de 16 de abril de 2015 dif his +28 N Avaliação 1 - SST Criou página com '==Debouncer para Chave== * *'
- 19h26min de 16 de abril de 2015 dif his +26 Predefinição:DiegoMedeiros-SST20707 →Avaliação 2 - Sistemas sequenciais
- 21h59min de 15 de abril de 2015 dif his +43 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 15h48min de 15 de abril de 2015 dif his -23 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 15h46min de 15 de abril de 2015 dif his -79 m SST20707-2015-1 - Avaliação 1 - Equipe 1
- 14h37min de 15 de abril de 2015 dif his +108 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 14h28min de 15 de abril de 2015 dif his +95 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 20h58min de 13 de abril de 2015 dif his +230 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 19h56min de 13 de abril de 2015 dif his +123 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 14h56min de 9 de abril de 2015 dif his +178 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 14h26min de 9 de abril de 2015 dif his 0 N Arquivo:Sstq4.png atual
- 22h29min de 8 de abril de 2015 dif his +29 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 22h01min de 8 de abril de 2015 dif his +4 269 m SST20707-2015-1 - Avaliação 1 - Equipe 1
- 16h40min de 8 de abril de 2015 dif his 0 N Arquivo:Sstq5.png atual
- 16h06min de 8 de abril de 2015 dif his +190 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 22h30min de 7 de abril de 2015 dif his 0 G9:TCC de Kamila Rose da Silva →Planejamento
- 08h24min de 7 de abril de 2015 dif his +43 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 15h29min de 6 de abril de 2015 dif his 0 N Arquivo:Sstq2.png atual
- 15h34min de 5 de abril de 2015 dif his +626 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 15h14min de 5 de abril de 2015 dif his +970 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 15h14min de 5 de abril de 2015 dif his 0 Arquivo:Sstq8.png foi enviada uma nova versão de "Arquivo:Sstq8.png" atual
- 15h13min de 5 de abril de 2015 dif his 0 N Arquivo:Sstq8.png
- 00h18min de 5 de abril de 2015 dif his +156 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 23h55min de 4 de abril de 2015 dif his +468 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 22h21min de 3 de abril de 2015 dif his +64 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 21h12min de 3 de abril de 2015 dif his +122 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 19h01min de 3 de abril de 2015 dif his +116 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 15h12min de 3 de abril de 2015 dif his 0 Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO
- 14h46min de 3 de abril de 2015 dif his +36 SST20707-2015-1 - Avaliação 1 - Equipe 1 →Questão 3: Intercomunicadores
- 14h42min de 3 de abril de 2015 dif his -114 SST20707-2015-1 - Avaliação 1 - Equipe 1 →Questão 3: Intercomunicadores
- 14h37min de 3 de abril de 2015 dif his +2 627 SST20707-2015-1 - Avaliação 1 - Equipe 1
- 14h36min de 3 de abril de 2015 dif his 0 N Arquivo:Sstq3.png atual
- 14h36min de 3 de abril de 2015 dif his 0 N Arquivo:Sstq6.png atual
- 13h59min de 3 de abril de 2015 dif his 0 N Arquivo:Sstq1.png atual
- 10h34min de 1 de abril de 2015 dif his +77 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 22h39min de 31 de março de 2015 dif his +1 432 N SST20707-2015-1 - Avaliação 1 - Equipe 1 Criou página com '==Questão 1: Cruzamento de duas ruas== * * ==Questão 2: Um amplificador e três aparelhos== * * ==Questão 3: Intercomunicadores== * * * Exemplo de tabela: <center> {| borde...'
- 21h16min de 31 de março de 2015 dif his +1 Experimento 14 para Circuitos Lógicos →Arimética binária (Somador Comercial) atual
- 20h55min de 31 de março de 2015 dif his +550 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 00h00min de 28 de março de 2015 dif his +135 G9:TCC de Kamila Rose da Silva →Fundamentação teórica
- 09h31min de 27 de março de 2015 dif his +307 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 23h27min de 16 de março de 2015 dif his 0 Arquivo:SumarioKamila.png foi enviada uma nova versão de "Arquivo:SumarioKamila.png" atual
- 23h23min de 16 de março de 2015 dif his +72 G9:TCC de Kamila Rose da Silva →Proposta
- 21h04min de 11 de março de 2015 dif his +7 Experimento 6 para Circuitos Lógicos atual
- 20h59min de 11 de março de 2015 dif his 0 Arquivo:Exp6 saidafunc.png foi enviada uma nova versão de "Arquivo:Exp6 saidafunc.png" atual
- 20h59min de 11 de março de 2015 dif his 0 Arquivo:Exp6 Edecod2p4discreto.png foi enviada uma nova versão de "Arquivo:Exp6 Edecod2p4discreto.png" atual
- 23h19min de 3 de março de 2015 dif his +59 G9:TCC de Kamila Rose da Silva →Motivação
- 00h11min de 23 de janeiro de 2015 dif his 0 Estudo de circuitos aritméticos e implementação em Dispositivos Lógicos Programáveis - RESUMO ESTENDIDO →RESUMO ESTENDIDO
- 16h28min de 28 de dezembro de 2014 dif his +72 Experimento 5 para Circuitos Lógicos →Fazer a simulação funcional do circuito com o QSIM
- 16h23min de 28 de dezembro de 2014 dif his +59 Usuário:Kamila.r
- 16h22min de 28 de dezembro de 2014 dif his -15 Usuário:Kamila.r
- 16h22min de 28 de dezembro de 2014 dif his -1 Usuário:Kamila.r
- 16h21min de 28 de dezembro de 2014 dif his +279 Usuário:Kamila.r
- 16h20min de 28 de dezembro de 2014 dif his +154 Modernização das aulas de laboratório de circuitos lógicos: fase implementação →Relatório Técnico Final
- 16h17min de 28 de dezembro de 2014 dif his +173 Modernização das aulas de laboratório de circuitos lógicos: fase implementação
- 16h17min de 28 de dezembro de 2014 dif his 0 N Arquivo:RTKamilaP2.pdf atual
- 16h14min de 28 de dezembro de 2014 dif his +10 Usuário:Kamila.r
- 16h13min de 28 de dezembro de 2014 dif his +98 Usuário:Kamila.r
- 16h10min de 28 de dezembro de 2014 dif his +411 Experimento 17 para Circuitos Lógicos →Inclusão de arquivos .bdf em projetos já existentes atual
- 16h09min de 28 de dezembro de 2014 dif his 0 N Arquivo:Filename.png atual
- 15h55min de 28 de dezembro de 2014 dif his +8 Usuário:Kamila.r →Novembro
- 15h53min de 28 de dezembro de 2014 dif his -83 Experimento 19 para Circuitos Lógicos →Exemplo de simulação temporal atual
- 15h53min de 28 de dezembro de 2014 dif his +257 Experimento 18 para Circuitos Lógicos atual
- 15h51min de 28 de dezembro de 2014 dif his +13 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Mini Tutorial:Simulação Temporal
- 15h51min de 28 de dezembro de 2014 dif his +1 740 Experimento 19 para Circuitos Lógicos
- 15h49min de 28 de dezembro de 2014 dif his 0 N Arquivo:TrocarCyclone.png atual
- 15h49min de 28 de dezembro de 2014 dif his 0 N Arquivo:PainelSimulacao.png atual
- 16h44min de 22 de dezembro de 2014 dif his +772 Experimento 17 para Circuitos Lógicos
- 16h43min de 22 de dezembro de 2014 dif his 0 N Arquivo:BotaoCompila.png atual
- 16h40min de 22 de dezembro de 2014 dif his 0 N Arquivo:FilesQuartus.png atual
- 16h22min de 22 de dezembro de 2014 dif his +62 Experimento 5 para Circuitos Lógicos
- 15h54min de 22 de dezembro de 2014 dif his +565 Experimento 5 para Circuitos Lógicos
- 15h54min de 22 de dezembro de 2014 dif his 0 N Arquivo:ResultMK.png atual
- 09h32min de 3 de dezembro de 2014 dif his +83 Experimento 5 para Circuitos Lógicos →Tabela Verdade
- 09h32min de 3 de dezembro de 2014 dif his 0 N Arquivo:MapaSeg-g.png atual
- 09h31min de 3 de dezembro de 2014 dif his 0 N Arquivo:Seg-g.png atual
- 09h30min de 3 de dezembro de 2014 dif his +5 Usuário:Kamila.r →Novembro
- 09h29min de 3 de dezembro de 2014 dif his +12 Usuário:Kamila.r →Novembro
- 09h27min de 3 de dezembro de 2014 dif his 0 Experimento 5 para Circuitos Lógicos
- 09h26min de 3 de dezembro de 2014 dif his 0 N Arquivo:Display1 7seg.png atual
- 09h26min de 3 de dezembro de 2014 dif his +3 Experimento 5 para Circuitos Lógicos →Utilizando Mapa de Karnaugh
- 09h25min de 3 de dezembro de 2014 dif his -1 786 Experimento 5 para Circuitos Lógicos
- 09h25min de 3 de dezembro de 2014 dif his 0 N Arquivo:Display 7seg.png atual
- 09h16min de 3 de dezembro de 2014 dif his -83 Experimento 5 para Circuitos Lógicos →///
- 09h15min de 3 de dezembro de 2014 dif his +3 Experimento 5 para Circuitos Lógicos →Utilizar o diagrama esquemático do Quartus II para inserir um display sete segmentos
- 09h10min de 3 de dezembro de 2014 dif his +281 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Introdução ao sistema de numeração binário e aplicação do Mapa de Karnaugh
- 09h09min de 3 de dezembro de 2014 dif his -185 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Aplicação do Teorema de De Morgan
- 09h09min de 3 de dezembro de 2014 dif his +282 Experimento 5 para Circuitos Lógicos
- 09h01min de 3 de dezembro de 2014 dif his +242 Experimento 14 para Circuitos Lógicos →Análise dos resultados
- 08h59min de 3 de dezembro de 2014 dif his +131 Experimento 14 para Circuitos Lógicos →Simulação temporal com o QSIM
- 08h59min de 3 de dezembro de 2014 dif his 0 N Arquivo:Saidasomardor.png atual
- 08h56min de 3 de dezembro de 2014 dif his 0 N Arquivo:ArqEntradaSomador.png atual
- 14h24min de 1 de dezembro de 2014 dif his -1 Experimento 14 para Circuitos Lógicos →Diagrama Esquemático
- 14h24min de 1 de dezembro de 2014 dif his +1 586 Experimento 14 para Circuitos Lógicos
- 14h24min de 1 de dezembro de 2014 dif his 0 N Arquivo:SomadorComercial.png atual
- 14h20min de 1 de dezembro de 2014 dif his +1 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Arimética binária (Somador Discreto)
- 14h20min de 1 de dezembro de 2014 dif his 0 Experimento 14 para Circuitos Lógicos →Arimética binária (Somador Discreto)
- 14h15min de 1 de dezembro de 2014 dif his -176 Experimento 5 para Circuitos Lógicos →Preparar o circuito lógico para gravação em um kit de desenvolvimento
- 14h05min de 1 de dezembro de 2014 dif his +1 513 Experimento 5 para Circuitos Lógicos
- 07h23min de 27 de novembro de 2014 dif his +21 Usuário:Kamila.r →Novembro
- 07h16min de 27 de novembro de 2014 dif his +177 Experimento 19 para Circuitos Lógicos
- 07h15min de 27 de novembro de 2014 dif his +81 Experimento 18 para Circuitos Lógicos
- 07h15min de 27 de novembro de 2014 dif his -15 Experimento 17 para Circuitos Lógicos
- 07h15min de 27 de novembro de 2014 dif his +156 Experimento 17 para Circuitos Lógicos
- 07h14min de 27 de novembro de 2014 dif his +14 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação
- 07h12min de 27 de novembro de 2014 dif his +211 N Experimento 17 para Circuitos Lógicos Criou página com '__NOTOC__ ==Mini Tutorial: Introdução à ferramenta Quartus== ;Objetivos: * Inserir diagrama esquemático no Quartus; * Inclusão de arquivos '''.bdf''' em projetos já exis...'
- 07h12min de 27 de novembro de 2014 dif his +140 N Experimento 18 para Circuitos Lógicos Criou página com '__NOTOC__ ==Mini Tutorial: Simulação Funcional== ;Objetivos: * Gerar formas de onda para simulação; * Realizar simulação funcional;'
- 07h12min de 27 de novembro de 2014 dif his +228 N Experimento 19 para Circuitos Lógicos Criou página com '__NOTOC__ ==Mini Tutorial:Simulação Temporal== ;Objetivos: * Gerar formas de onda para simulação; * Troca da família do FPGA; * Realizar simulação temporal; * Uso de cu...'
- 07h10min de 27 de novembro de 2014 dif his +411 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação
- 07h04min de 27 de novembro de 2014 dif his -336 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação
- 07h04min de 27 de novembro de 2014 dif his +35 Experimento 5 para Circuitos Lógicos
- 07h04min de 27 de novembro de 2014 dif his -126 Experimento 14 para Circuitos Lógicos
- 07h03min de 27 de novembro de 2014 dif his -258 Experimento 5 para Circuitos Lógicos →Introdução ao sistema de numeração binário
- 06h59min de 27 de novembro de 2014 dif his +269 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação
- 06h55min de 27 de novembro de 2014 dif his +706 Usuário:Kamila.r →Novembro
- 08h03min de 25 de novembro de 2014 dif his +62 Usuário:Kamila.r →Novembro
- 13h33min de 24 de novembro de 2014 dif his +120 Experimento 6 para Circuitos Lógicos
- 13h33min de 24 de novembro de 2014 dif his 0 N Arquivo:Exp6 saidafunc.png
- 08h18min de 20 de novembro de 2014 dif his +71 Usuário:Kamila.r →Novembro
- 07h59min de 20 de novembro de 2014 dif his +26 Usuário:Kamila.r →Novembro
- 07h58min de 20 de novembro de 2014 dif his +692 N Experimento 8 para Circuitos Lógicos Criou página com '__NOTOC__ ==Introdução aos Flip-Flops== ;Objetivos: * Introdução a Flip-Flops e Latch; * Compreender o funcionamento de Flip-Flops D, RS, JK e Latch RS e D; * Compreender e ...' atual
- 07h58min de 20 de novembro de 2014 dif his +593 N Experimento 14 para Circuitos Lógicos Criou página com '__NOTOC__ ==Projeto de circuito para detectar erros e aplicação do Mapa de Karnaugh== ;Objetivos: * Projetar circuito para detectar Erro em operações com números binários;...'
- 07h57min de 20 de novembro de 2014 dif his +3 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Introdução aos Flip-Flops
- 07h39min de 20 de novembro de 2014 dif his -48 Usuário:Kamila.r →Novembro
- 10h28min de 19 de novembro de 2014 dif his 0 N Arquivo:Exp6 Edecod2p4discreto.png
- 09h44min de 19 de novembro de 2014 dif his +27 Experimento 6 para Circuitos Lógicos
- 09h44min de 19 de novembro de 2014 dif his 0 N Arquivo:Exp6 diagDecod2p4.png atual
- 09h42min de 19 de novembro de 2014 dif his 0 N Arquivo:Exp6 Edecod2p1.png atual
- 09h40min de 19 de novembro de 2014 dif his 0 N Arquivo:Exp6 diagDecod2p1.png atual
- 09h11min de 19 de novembro de 2014 dif his +1 552 Experimento 6 para Circuitos Lógicos
- 07h45min de 18 de novembro de 2014 dif his +277 Experimento 6 para Circuitos Lógicos
- 07h42min de 18 de novembro de 2014 dif his +424 Experimento 6 para Circuitos Lógicos
- 06h48min de 18 de novembro de 2014 dif his -111 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Implementação de Decodificadores
- 22h24min de 17 de novembro de 2014 dif his +99 Usuário:Kamila.r →Novembro
- 17h52min de 10 de novembro de 2014 dif his +1 318 Experimento 13 para Circuitos Lógicos
- 17h50min de 10 de novembro de 2014 dif his 0 N Arquivo:Exp13 saidafunc.png atual
- 17h47min de 10 de novembro de 2014 dif his 0 N Arquivo:Exp13 entrada.png atual
- 10h25min de 9 de novembro de 2014 dif his +126 Usuário:Kamila.r →Novembro
- 23h07min de 8 de novembro de 2014 dif his +288 Experimento 13 para Circuitos Lógicos →Diagrama Esquemático
- 23h01min de 8 de novembro de 2014 dif his +15 Experimento 13 para Circuitos Lógicos →Diagrama Esquemático
- 23h00min de 8 de novembro de 2014 dif his 0 N Arquivo:SubtratorComplemento24bits-bdf.png
- 16h19min de 5 de novembro de 2014 dif his +93 G9:TCC de Kamila Rose da Silva →Dúvidas:
- 08h47min de 5 de novembro de 2014 dif his +58 Usuário:Kamila.r →Novembro
- 17h06min de 4 de novembro de 2014 dif his -75 Experimento 16 para Circuitos Lógicos
- 07h33min de 4 de novembro de 2014 dif his -90 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Introdução aos Flip-Flops
- 07h17min de 4 de novembro de 2014 dif his 0 Arquivo:SumarioKamila.png foi enviada uma nova versão de "Arquivo:SumarioKamila.png"
- 06h59min de 4 de novembro de 2014 dif his +14 Experimento 13 para Circuitos Lógicos →Diagrama Esquemático
- 06h58min de 4 de novembro de 2014 dif his +5 222 Experimento 13 para Circuitos Lógicos
- 22h47min de 3 de novembro de 2014 dif his +79 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 22h46min de 3 de novembro de 2014 dif his +90 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 22h44min de 3 de novembro de 2014 dif his +71 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 22h43min de 3 de novembro de 2014 dif his 0 Arquivo:SumarioKamila.png foi enviada uma nova versão de "Arquivo:SumarioKamila.png"
- 18h43min de 2 de novembro de 2014 dif his +6 G9:TCC de Kamila Rose da Silva →Proposta de título
- 18h31min de 2 de novembro de 2014 dif his +29 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 18h28min de 2 de novembro de 2014 dif his +411 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 18h13min de 2 de novembro de 2014 dif his +44 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 18h12min de 2 de novembro de 2014 dif his 0 N Arquivo:SumarioKamila.png
- 18h08min de 2 de novembro de 2014 dif his +61 Usuário:Kamila.r →Outubro
- 07h25min de 30 de outubro de 2014 dif his 0 Experimento 7 para Circuitos Lógicos →Multiplexador de 2 para 1 atual
- 17h50min de 29 de outubro de 2014 dif his +6 Experimento 7 para Circuitos Lógicos
- 17h49min de 29 de outubro de 2014 dif his 0 N Arquivo:Multiplex2p1.png atual
- 17h39min de 29 de outubro de 2014 dif his -19 G9:TCC de Kamila Rose da Silva →Documento TCC1
- 17h37min de 29 de outubro de 2014 dif his -10 Circuitos Lógicos Aritméticos
- 17h34min de 29 de outubro de 2014 dif his -51 Circuitos Lógicos Aritméticos →Multiplexador de 2 para 1
- 17h29min de 29 de outubro de 2014 dif his +43 Experimento 7 para Circuitos Lógicos
- 17h29min de 29 de outubro de 2014 dif his +498 Usuário:Kamila.r →Outubro
- 17h27min de 29 de outubro de 2014 dif his +61 Circuitos Lógicos Aritméticos →Multiplexador de 2 para 1
- 17h05min de 29 de outubro de 2014 dif his -1 Experimento 7 para Circuitos Lógicos
- 17h04min de 29 de outubro de 2014 dif his +100 Experimento 7 para Circuitos Lógicos
- 16h40min de 29 de outubro de 2014 dif his +357 Experimento 7 para Circuitos Lógicos
- 09h58min de 29 de outubro de 2014 dif his +615 Experimento 15 para Circuitos Lógicos atual
- 09h54min de 29 de outubro de 2014 dif his -36 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Multiplicador (Comercial)
- 09h53min de 29 de outubro de 2014 dif his +1 153 Experimento 16 para Circuitos Lógicos
- 09h53min de 29 de outubro de 2014 dif his 0 N Arquivo:Exp16 pinagem.png atual
- 09h48min de 29 de outubro de 2014 dif his 0 N Arquivo:Exp16 saidaTemp.png atual
- 09h48min de 29 de outubro de 2014 dif his 0 N Arquivo:Exp16 entrada.png atual
- 09h47min de 29 de outubro de 2014 dif his 0 N Arquivo:Exp16 diag.png atual
- 09h47min de 29 de outubro de 2014 dif his -9 Experimento 16 para Circuitos Lógicos
- 09h46min de 29 de outubro de 2014 dif his +1 Experimento 9 para Circuitos Lógicos →Inserir diagrama esquemático de um circuito lógico com o QuartusII de um Contador UP/DOWN atual
- 09h14min de 29 de outubro de 2014 dif his -26 Experimento 16 para Circuitos Lógicos
- 09h13min de 29 de outubro de 2014 dif his -49 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Multiplicador (Comercial)
- 12h47min de 28 de outubro de 2014 dif his -11 Experimento 6 para Circuitos Lógicos →Implementação de Decodificadores
- 12h47min de 28 de outubro de 2014 dif his -1 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Implementação de Decodificadores
- 12h47min de 28 de outubro de 2014 dif his -10 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Implementação de Decodificadores
- 10h54min de 28 de outubro de 2014 dif his +1 140 Experimento 16 para Circuitos Lógicos
- 17h32min de 25 de outubro de 2014 dif his -9 m G9:TCC de Kamila Rose da Silva →DocumentoTCC1
- 17h18min de 25 de outubro de 2014 dif his -3 G9:TCC de Kamila Rose da Silva →Documentado TCC1
- 15h25min de 25 de outubro de 2014 dif his +309 Usuário:Kamila.r →Outubro
- 23h55min de 24 de outubro de 2014 dif his +21 Experimento 6 para Circuitos Lógicos →Implementação de Decodificadores
- 23h53min de 24 de outubro de 2014 dif his -2 Modernização das aulas de Laboratório de Circuitos Lógicos: Implementação →Implementação de Decodificadores