Contribuições do(a) usuário(a)
Ir para navegação
Ir para pesquisar
- 12h45min de 2 de setembro de 2016 dif his +1 955 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis
- 23h35min de 1 de setembro de 2016 dif his +58 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis
- 22h04min de 31 de agosto de 2016 dif his +3 143 N Testebench multiplicador Criou página com '<syntaxhighlight lang=vhdl> -- Testbench created online at: -- www.doulos.com/knowhow/perl/testbench_creation/ -- Copyright Doulos Ltd -- SD, 03 November 2002 library IEEE; use IEEE.Std_logic...' atual
- 22h03min de 31 de agosto de 2016 dif his +327 N .do multiplicador temporal Criou página com '<syntaxhighlight lang=vhdl> quit -sim cd /home/kamila.r//TCC2/DUT-Multiplier/DUT vcom -reportprogress 300 -work work ./simulation/modelsim/DUT_7_1200mv_85c_slow.vho vcom -reportprogress 300 -wor...' atual
- 22h03min de 31 de agosto de 2016 dif his +209 N .do multiplicador funcional Criou página com '<syntaxhighlight lang=vhdl> quit -sim vcom -93 -work work {./multiplier.vhd} {./DUT_mult.vhd} {./DUT_tb_mult.vhd} {./fast_deserializer.vhd} {./fast_serializer.vhd} vsim work.DUT_tb_mult do wave...' atual
- 22h01min de 31 de agosto de 2016 dif his +3 372 N Testebench somador Criou página com '<syntaxhighlight lang=vhdl> -- Testbench created online at: -- www.doulos.com/knowhow/perl/testbench_creation/ -- Copyright Doulos Ltd -- SD, 03 November 2002 library IEEE; use IEEE.Std_logic...' atual
- 22h00min de 31 de agosto de 2016 dif his +926 Carry Select atual
- 21h59min de 31 de agosto de 2016 dif his +1 441 Carry Skip atual
- 21h58min de 31 de agosto de 2016 dif his +1 038 Carry Lookahead 4 bits atual
- 21h57min de 31 de agosto de 2016 dif his +2 .do somador funcional atual
- 21h57min de 31 de agosto de 2016 dif his +316 N .do somador temporal Criou página com '<syntaxhighlight lang=vhdl> quit -sim cd /home/kamila.r/TCC2/DUT-Adder/DUT vcom -reportprogress 300 -work work ./simulation/modelsim/DUT_7_1200mv_85c_slow.vho vcom -reportprogress 300 -work work...' atual
- 21h56min de 31 de agosto de 2016 dif his +20 .do somador funcional
- 21h56min de 31 de agosto de 2016 dif his +316 N .do somador funcional Criou página com 'quit -sim vcom -93 -work work {./adder.vhd} {./DUT_adder.vhd} {./DUT_tb_adder.vhd} {./fast_deserializer.vhd} {./fast_serializer.vhd} {./carry_lookahead_adder_4.vhd} {./carry_look...'
- 21h55min de 31 de agosto de 2016 dif his +2 430 Carry Lookahead 8 bits atual
- 21h53min de 31 de agosto de 2016 dif his +10 759 Carry Lookahead 16 bits atual
- 21h51min de 31 de agosto de 2016 dif his +57 N .sdc Criou página com 'create_clock -name CLK50MHz -period 50MHz [get_ports {*}]' atual
- 21h20min de 31 de agosto de 2016 dif his -47 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis
- 16h36min de 31 de agosto de 2016 dif his -135 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis →Documentações ALTERA
- 16h35min de 31 de agosto de 2016 dif his +4 Simulações - Modelsim atual
- 16h35min de 31 de agosto de 2016 dif his +182 N Simulações - Modelsim Criou página com ';Modelsim: *Testebench somador *Testebench multiplicador *.do somador funcional *.do somador temporal *.do multiplicador funcional *[[.do multiplicador tempor...'
- 16h35min de 31 de agosto de 2016 dif his +402 N Circuitos aritméticos - Quartus II Criou página com ';Declarações: *Adder *Subtractor *Multiplier ;Circuitos somadores: *Carry Ripple *Operador VHDL *Carry Select *Carry Lookahead 4 bits *[[Carry Look...' atual
- 16h34min de 31 de agosto de 2016 dif his +46 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis →Circuitos aritméticos
- 16h32min de 31 de agosto de 2016 dif his -184 Circuitos aritméticos atual
- 16h31min de 31 de agosto de 2016 dif his +56 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis →Resumo
- 16h30min de 31 de agosto de 2016 dif his +209 Circuitos aritméticos
- 16h26min de 31 de agosto de 2016 dif his +3 220 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis
- 16h21min de 31 de agosto de 2016 dif his +32 Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis
- 16h20min de 31 de agosto de 2016 dif his +10 Circuitos aritméticos
- 16h19min de 31 de agosto de 2016 dif his +367 N Circuitos aritméticos Criou página com ';Declarações: *Adder *Subtractor *Multiplier ;Circuitos somadores: *Carry Ripple *Operador VHDL *Carry Select *Carry Lookahead 4 bits *[[Carry Look...'
- 14h08min de 30 de agosto de 2016 dif his +534 N Multiplicadores: Propagação Criou página com '==Stub== {| border="1" cellpadding="5" cellspacing="0" style="text-align: center;" ! colspan="1" style="background: #efefef;" | Bits ! colspan="1" style="background: #efefef;" |...' atual
- 13h06min de 30 de agosto de 2016 dif his -2 Somadores: Propagação →Stub atual
- 11h25min de 30 de agosto de 2016 dif his 0 Somadores: Propagação →Stub
- 11h10min de 30 de agosto de 2016 dif his -225 Somadores: Propagação →Stub
- 00h37min de 25 de julho de 2016 dif his -99 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2: atual
- 19h09min de 24 de julho de 2016 dif his -256 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 16h15min de 19 de julho de 2016 dif his -70 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 22h56min de 17 de julho de 2016 dif his -245 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 10h28min de 13 de julho de 2016 dif his -1 996 G9:TCC de Kamila Rose da Silva →Simulações
- 10h27min de 13 de julho de 2016 dif his +196 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 15h32min de 12 de julho de 2016 dif his 0 Somadores: Propagação →Carry-Lookahead 16 bits
- 11h15min de 3 de julho de 2016 dif his -26 Simulações atual
- 11h15min de 3 de julho de 2016 dif his +491 N Operador de multiplicação VHDL Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...' atual
- 11h15min de 3 de julho de 2016 dif his +1 428 N Ripple Carry-multiplier Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...' atual
- 11h14min de 3 de julho de 2016 dif his +1 728 N Carry Save-multiplier Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...' atual
- 11h13min de 3 de julho de 2016 dif his +19 Simulações
- 11h11min de 3 de julho de 2016 dif his +439 N Carry Ripple Criou página com '<syntaxhighlight lang=vhdl> --Book: Circuit design/ 164 -- Carry-ripple architecture adder_carry_ripple of adder is begin process (a,b, cin) variable carry: std_logic_vector (n downto 0)...' atual
- 11h11min de 3 de julho de 2016 dif his +1 135 N Carry Chain Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...' atual
- 11h11min de 3 de julho de 2016 dif his +914 N Carry Select Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...'
- 11h10min de 3 de julho de 2016 dif his +1 325 N Carry Skip Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...'
- 11h10min de 3 de julho de 2016 dif his +901 N Carry Lookahead 16 bits Criou página com '<syntaxhighlight lang=vhdl> ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------...'