Circuito Multiplicador - John

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar

Estrutura

Código VHDL

--Book: John/333
--32 bits
library ieee;
use ieee.numeric_bit.all;

entity multiplier is
	port(
		a,b: in unsigned (31 downto 0);
		c: out unsigned(63 downto 0));
end entity;

architecture multiplier of multiplier is
	begin
	c <= a * b;
end architecture;

Testbench

  • Código
  • Resultado (print)

Simulações

Nº Bits ALMs Delay Potência (mW)
x x x x
x x x x
x x x x
x x x x