Contribuições do(a) usuário(a)
Ir para navegação
Ir para pesquisar
- 10h54min de 29 de março de 2016 dif his +1 Simulações →Serializers
- 10h51min de 29 de março de 2016 dif his -49 G9:TCC de Kamila Rose da Silva →Referências Bibliográficas:
- 10h51min de 29 de março de 2016 dif his +98 G9:TCC de Kamila Rose da Silva →Links importantes
- 10h50min de 29 de março de 2016 dif his -290 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 10h50min de 29 de março de 2016 dif his -1 764 G9:TCC de Kamila Rose da Silva →Somadores
- 10h03min de 29 de março de 2016 dif his +20 Circuito Somador Carry Ripple - Pedroni →Testbench
- 11h00min de 24 de fevereiro de 2016 dif his 0 G9:TCC de Kamila Rose da Silva →Definições
- 10h45min de 24 de fevereiro de 2016 dif his +257 G9:TCC de Kamila Rose da Silva
- 10h37min de 24 de fevereiro de 2016 dif his +38 Circuito Somador Carry Ripple - Pedroni
- 10h37min de 24 de fevereiro de 2016 dif his +1 416 Circuito Somador Carry Ripple - Pedroni →Testbench
- 10h36min de 24 de fevereiro de 2016 dif his 0 N Arquivo:Carry ripple time.png atual
- 10h34min de 24 de fevereiro de 2016 dif his 0 N Arquivo:Carry ripple func.png atual
- 18h25min de 4 de janeiro de 2016 dif his +159 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 14h17min de 22 de dezembro de 2015 dif his +513 N Circuito Deserializador - Pedroni VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> </syntaxhighlight> ==Testbench== *Código *Resultado (print) ==Simulações== {| border="1" cellpadding="5" cellspacing="0" s...'
- 14h16min de 22 de dezembro de 2015 dif his +513 N Circuito Serializador - Pedroni VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> </syntaxhighlight> ==Testbench== *Código *Resultado (print) ==Simulações== {| border="1" cellpadding="5" cellspacing="0" s...'
- 14h16min de 22 de dezembro de 2015 dif his +103 Simulações →Multiplicadores
- 13h50min de 9 de dezembro de 2015 dif his +915 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 16h36min de 7 de dezembro de 2015 dif his +803 N Op. Lógico - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Pedroni - 491 --8 bits: library ieee; use ieee.std_logic_1164.all; entity add_sub is generic (n: integer := 8); po...' atual
- 16h35min de 7 de dezembro de 2015 dif his +27 Simulações →Somadores
- 16h33min de 7 de dezembro de 2015 dif his -14 Simulações →Somadores
- 16h33min de 7 de dezembro de 2015 dif his +4 235 N Circuito Multiplicador - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> ---------------------------------------------------------------------------- -- parallel_csa_multiplier.vhd -- -- sectio...' atual
- 16h31min de 7 de dezembro de 2015 dif his +4 459 N Circuito Multiplicador Desloca e Soma CSA - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/199 -- ---------------------------------------------------------------------------- -- sequential_CSA_mult...' atual
- 16h29min de 7 de dezembro de 2015 dif his +3 576 N Circuito Multiplicador Desloca e Soma - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/196 -- ---------------------------------------------------------------------------- -- shift_and_add_multi...' atual
- 16h28min de 7 de dezembro de 2015 dif his +2 602 N Carry-Save Parallel Multipler - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/187 -- ---------------------------------------------------------------------------- -- parallel_csa_multip...' atual
- 16h28min de 7 de dezembro de 2015 dif his +2 227 N Multiplicador Paralelo Carry Ripple - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/186 -- --------------------------------------------------------------------------- -- parallel_multiplier....' atual
- 16h25min de 7 de dezembro de 2015 dif his +1 827 N Circuito Multiplicador - Pedroni-VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: PedroniVHDL/77 --4 bits ---------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_11...' atual
- 16h24min de 7 de dezembro de 2015 dif his +967 N Ponto Fixo - Pedroni-VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: PedroniVHDL/57 --8 bits -------------------------------------- LIBRARY ieee_proposed; USE ieee_proposed.fixed_pk...' atual
- 16h22min de 7 de dezembro de 2015 dif his +764 N Circuito Multiplicador - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/333 --32 bits library ieee; use ieee.numeric_bit.all; entity multiplier is port( a,b: in unsigned (31 d...' atual
- 16h21min de 7 de dezembro de 2015 dif his +2 415 N Arranjo de Multiplicadores - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/218 --4 bits library ieee; use ieee.numeric_bit.all; entity array_mult is port( x,y : in bit_vector(3 d...' atual
- 16h19min de 7 de dezembro de 2015 dif his +64 Simulações →Multiplicadores
- 14h37min de 7 de dezembro de 2015 dif his +125 Circuito Somador e Subtrator - Pedroni
- 22h35min de 5 de dezembro de 2015 dif his +1 192 Circuito Somador - Guide Desfeita a edição 99496 de Kamila.r (Discussão) atual
- 22h34min de 5 de dezembro de 2015 dif his -1 192 Circuito Somador - Guide Limpou toda a página
- 22h32min de 5 de dezembro de 2015 dif his +1 192 N Circuito Somador - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/175 --8 bits ---------------------------------------------------------------------------- -- two_s_comp_ad...'
- 22h31min de 5 de dezembro de 2015 dif his +2 756 N Circuito Somador Carry Ripple - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/159 --m*k bits ---------------------------------------------------------------------------- -- carry_selec...' atual
- 22h25min de 5 de dezembro de 2015 dif his +1 402 N Circuito Somador Carry Lookahead - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/490 --4 bits carry-lookahead --Uso do component library ieee; use ieee.std_logic_1164.all; entity carry...' atual
- 22h24min de 5 de dezembro de 2015 dif his -10 Simulações
- 22h18min de 5 de dezembro de 2015 dif his -95 G9:TCC de Kamila Rose da Silva
- 22h16min de 5 de dezembro de 2015 dif his -3 411 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h15min de 5 de dezembro de 2015 dif his -4 927 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 22h12min de 5 de dezembro de 2015 dif his +1 098 N Circuito Somador e Subtrator - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/491 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity add_sub is ...'
- 22h12min de 5 de dezembro de 2015 dif his +1 523 N Circuito Somador BCD - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/194 --8 bits library ieee; use ieee.numeric_bit.all; entity bcd_adder is port (x,y : in unsigned (7 dow...' atual
- 22h11min de 5 de dezembro de 2015 dif his +896 N Circuito Somador - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/199 --32 bits library ieee; use ieee.numeric_bit.all; entity adder is port( a,b: in unsigned (31 downt...' atual
- 22h10min de 5 de dezembro de 2015 dif his +1 071 N Circuito Somador e Subtrator 2 - Chu Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/171 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...' atual
- 22h09min de 5 de dezembro de 2015 dif his +985 N Circuito Somador e Subtrator - Chu Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/172 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...' atual
- 22h00min de 5 de dezembro de 2015 dif his +37 Circuito Somador Carry Ripple - Pedroni →Código VHDL
- 19h27min de 5 de dezembro de 2015 dif his +27 Circuito Somador Carry Ripple - Pedroni →Simulações
- 18h43min de 5 de dezembro de 2015 dif his +231 Circuito Somador Carry Ripple - Pedroni →Estrutura
- 18h30min de 5 de dezembro de 2015 dif his +1 172 N Circuito Somador Carry Ripple - Pedroni Criou página com '__NOTOC__ ==Estrutura== * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/488 --8 bits library ieee; use ieee.std_logic_1164.all; entity carry_ripple_adder is generic (n: integer ...'
- 18h24min de 5 de dezembro de 2015 dif his +1 Simulações