Operador de multiplicação VHDL

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
--Book: Circuit design/ 77
--Multiplicador sem sinal

architecture multiplier_unsigned of multiplier is

	signal a_sig, b_sig: unsigned(n-1 downto 0);
		 
	begin
		 a_sig <= unsigned(a);
		 b_sig <= unsigned(b);
		 prod <= std_logic_vector(unsigned' (a_sig * b_sig));
		 
end architecture;