Contribuições do(a) usuário(a)
Ir para navegação
Ir para pesquisar
- 16h33min de 7 de dezembro de 2015 dif his +4 235 N Circuito Multiplicador - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> ---------------------------------------------------------------------------- -- parallel_csa_multiplier.vhd -- -- sectio...' atual
- 16h31min de 7 de dezembro de 2015 dif his +4 459 N Circuito Multiplicador Desloca e Soma CSA - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/199 -- ---------------------------------------------------------------------------- -- sequential_CSA_mult...' atual
- 16h29min de 7 de dezembro de 2015 dif his +3 576 N Circuito Multiplicador Desloca e Soma - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/196 -- ---------------------------------------------------------------------------- -- shift_and_add_multi...' atual
- 16h28min de 7 de dezembro de 2015 dif his +2 602 N Carry-Save Parallel Multipler - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/187 -- ---------------------------------------------------------------------------- -- parallel_csa_multip...' atual
- 16h28min de 7 de dezembro de 2015 dif his +2 227 N Multiplicador Paralelo Carry Ripple - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/186 -- --------------------------------------------------------------------------- -- parallel_multiplier....' atual
- 16h25min de 7 de dezembro de 2015 dif his +1 827 N Circuito Multiplicador - Pedroni-VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: PedroniVHDL/77 --4 bits ---------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_11...' atual
- 16h24min de 7 de dezembro de 2015 dif his +967 N Ponto Fixo - Pedroni-VHDL Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: PedroniVHDL/57 --8 bits -------------------------------------- LIBRARY ieee_proposed; USE ieee_proposed.fixed_pk...' atual
- 16h22min de 7 de dezembro de 2015 dif his +764 N Circuito Multiplicador - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/333 --32 bits library ieee; use ieee.numeric_bit.all; entity multiplier is port( a,b: in unsigned (31 d...' atual
- 16h21min de 7 de dezembro de 2015 dif his +2 415 N Arranjo de Multiplicadores - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/218 --4 bits library ieee; use ieee.numeric_bit.all; entity array_mult is port( x,y : in bit_vector(3 d...' atual
- 16h19min de 7 de dezembro de 2015 dif his +64 Simulações →Multiplicadores
- 14h37min de 7 de dezembro de 2015 dif his +125 Circuito Somador e Subtrator - Pedroni
- 22h35min de 5 de dezembro de 2015 dif his +1 192 Circuito Somador - Guide Desfeita a edição 99496 de Kamila.r (Discussão) atual
- 22h34min de 5 de dezembro de 2015 dif his -1 192 Circuito Somador - Guide Limpou toda a página
- 22h32min de 5 de dezembro de 2015 dif his +1 192 N Circuito Somador - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/175 --8 bits ---------------------------------------------------------------------------- -- two_s_comp_ad...'
- 22h31min de 5 de dezembro de 2015 dif his +2 756 N Circuito Somador Carry Ripple - Guide Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: GUIDE/159 --m*k bits ---------------------------------------------------------------------------- -- carry_selec...' atual
- 22h25min de 5 de dezembro de 2015 dif his +1 402 N Circuito Somador Carry Lookahead - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/490 --4 bits carry-lookahead --Uso do component library ieee; use ieee.std_logic_1164.all; entity carry...' atual
- 22h24min de 5 de dezembro de 2015 dif his -10 Simulações
- 22h18min de 5 de dezembro de 2015 dif his -95 G9:TCC de Kamila Rose da Silva
- 22h16min de 5 de dezembro de 2015 dif his -3 411 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h15min de 5 de dezembro de 2015 dif his -4 927 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 22h12min de 5 de dezembro de 2015 dif his +1 098 N Circuito Somador e Subtrator - Pedroni Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/491 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity add_sub is ...'
- 22h12min de 5 de dezembro de 2015 dif his +1 523 N Circuito Somador BCD - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/194 --8 bits library ieee; use ieee.numeric_bit.all; entity bcd_adder is port (x,y : in unsigned (7 dow...' atual
- 22h11min de 5 de dezembro de 2015 dif his +896 N Circuito Somador - John Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/199 --32 bits library ieee; use ieee.numeric_bit.all; entity adder is port( a,b: in unsigned (31 downt...' atual
- 22h10min de 5 de dezembro de 2015 dif his +1 071 N Circuito Somador e Subtrator 2 - Chu Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/171 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...' atual
- 22h09min de 5 de dezembro de 2015 dif his +985 N Circuito Somador e Subtrator - Chu Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/172 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...' atual
- 22h00min de 5 de dezembro de 2015 dif his +37 Circuito Somador Carry Ripple - Pedroni →Código VHDL
- 19h27min de 5 de dezembro de 2015 dif his +27 Circuito Somador Carry Ripple - Pedroni →Simulações
- 18h43min de 5 de dezembro de 2015 dif his +231 Circuito Somador Carry Ripple - Pedroni →Estrutura
- 18h30min de 5 de dezembro de 2015 dif his +1 172 N Circuito Somador Carry Ripple - Pedroni Criou página com '__NOTOC__ ==Estrutura== * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/488 --8 bits library ieee; use ieee.std_logic_1164.all; entity carry_ripple_adder is generic (n: integer ...'
- 18h24min de 5 de dezembro de 2015 dif his +1 Simulações
- 18h22min de 5 de dezembro de 2015 dif his +859 N Simulações Criou página com '__NOTOC__ ==Circuito Somador e Subtrator - CHU== ==Circuito Somador e Subtrator 2 - CHU== ==Circuito Somador - JOHN== ==Circuito Somador BCD - JOHN== ==[[Circ...'
- 18h18min de 5 de dezembro de 2015 dif his +17 G9:TCC de Kamila Rose da Silva →Estudos de implementações existentes
- 17h36min de 3 de dezembro de 2015 dif his +1 323 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 17h54min de 10 de novembro de 2015 dif his +129 G9:TCC de Kamila Rose da Silva →Planejamento TCC-2:
- 23h04min de 7 de novembro de 2015 dif his +2 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 23h03min de 7 de novembro de 2015 dif his +7 841 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 22h59min de 7 de novembro de 2015 dif his 0 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h58min de 7 de novembro de 2015 dif his +3 555 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Multiplicadores
- 22h53min de 7 de novembro de 2015 dif his +4 862 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 21h43min de 7 de novembro de 2015 dif his +3 151 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 17h58min de 7 de novembro de 2015 dif his +2 203 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 17h50min de 7 de novembro de 2015 dif his +21 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 17h46min de 7 de novembro de 2015 dif his +5 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 17h45min de 7 de novembro de 2015 dif his +1 784 G9:TCC de Kamila Rose da Silva →Multiplicadores
- 21h12min de 6 de novembro de 2015 dif his +81 G9:TCC de Kamila Rose da Silva →Resumo da implementação - Somadores
- 21h05min de 6 de novembro de 2015 dif his +757 G9:TCC de Kamila Rose da Silva →Adders
- 19h58min de 6 de novembro de 2015 dif his +2 328 G9:TCC de Kamila Rose da Silva →Adders
- 19h37min de 6 de novembro de 2015 dif his +2 G9:TCC de Kamila Rose da Silva →Adders
- 19h20min de 6 de novembro de 2015 dif his +2 184 G9:TCC de Kamila Rose da Silva →Adders
- 19h14min de 6 de novembro de 2015 dif his +565 G9:TCC de Kamila Rose da Silva →Estudos de implementações existentes