Mudanças entre as edições de "DLP29006-Engtelecom (Diário) - Prof. Marcos Moecke"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
 
(461 revisões intermediárias pelo mesmo usuário não estão sendo mostradas)
Linha 1: Linha 1:
 
==Registro on-line das aulas==
 
==Registro on-line das aulas==
 
===Unidade 1 - Introdução a disciplina===
 
===Unidade 1 - Introdução a disciplina===
* 3 ENCONTROS
+
* 6 ENCONTROS
{{collapse top | Unidade 1 - Introdução a disciplina}}
+
{{collapse top | expand=true | Unidade 1 - Introdução a disciplina}}
 
+
;Encontro 1 (26 jul):
;Aula 1 (6 Out):
 
 
* [[DLP1-EngTel (Plano de Ensino) | APRESENTAÇÃO DA DISCIPLINA]]
 
* [[DLP1-EngTel (Plano de Ensino) | APRESENTAÇÃO DA DISCIPLINA]]
* Os materiais, prazos, documentação, histórico de trabalhos anteriores estão publicados nesta wiki.
 
 
* A [[DLP1-EngTel (página) | PÁGINA DA DISCIPLINA]] contem os materiais que não alteram entre semestre.
 
* A [[DLP1-EngTel (página) | PÁGINA DA DISCIPLINA]] contem os materiais que não alteram entre semestre.
* Nesta página está o [[DLP29006-Engtelecom(2021.2) - Prof. Marcos Moecke | REGISTRO DIÁRIO E AVALIAÇÕES]].
+
* Nesta página está o [[DLP29006-Engtelecom (Diário) - Prof. Marcos Moecke | REGISTRO DIÁRIO E AVALIAÇÕES]].
* Para a realização e entrega das atividades será utilizada a [https://moodle.ifsc.edu.br/course/index.php?categoryid=2011 PLATAFORMA MOODLE]. Chave para auto inscrição (engtelecom_aluno)
+
* A entrega de atividades e avaliações será através da [https://moodle.ifsc.edu.br/course/view.php?id=699 plataforma Moodle]. A inscrição dos alunos é automática a partir do SIGAA.
* Durante a pandemia do COVID19 os encontros síncronos serão realizados através da plataforma [https://meet.google.com/hto-grwk-pzt Google Meet]. Os encontros (havendo a concordância de todos) serão gravados, e ocorrerão nos horários normais das aulas. As aulas terão duração de 1h15 minutos com possibilidade de ainda acrescer 15 minutos previstos para esclarecimento de dúvidas. As gravações ficarão disponíveis por 30 dias no Drive do aluno, mas não poderão ser baixadas por limitação do sistema.
+
* Para a comunicação entre professor-aluno, além dos avisos no SIGAA, utilizaremos o [https://mail.google.com/chat/u/0/#chat/space/AAAAu052zos chat institucional]. A princípio todos os alunos já estão previamente cadastrados pelo seu email institucional. Confiram enviando uma mensagem de apresentação.
* Além dos horários de aula síncrona, serão agendados horários de [https://meet.google.com/qqp-uhue-jgc  ATENDIMENTO EXTRACLASSE] para que os alunos possam tirar dúvidas da disciplina ou das ferramentas de ensino.
+
*Durante as aulas é recomendado que o aluno utilize os softwares '''Quartus''' e '''Modelsim''' instalados nas maquinas do laboratório, mas estes também podem ser usados através da '''Nuvem do IFSC'''.
* Para a comunicação entre professor-aluno, além dos avisos do [https://sigaa.ifsc.edu.br/sigaa/verTelaLogin.do Sistema Acadêmimco (SIGAA)], utilizaremos a  [https://join.slack.com/t/dlp029006/shared_invite/zt-x8fdwxnk-q4UEEsbrvZfaS_ezhE_UyA plataforma SLACK]. Sugere-se que os alunos também a utilizem para comunicação entre eles, principalmente nos trabalhos em equipe.
+
* Nas aulas é recomendado que o aluno utilize os softwares '''Quartus Light''' e '''ModelSim''' instalado nas máquinas do laboratório ou então acesse estes softwares através da [[Acesso ao IFSC-CLOUD (NUVEM)|NUVEM do IFSC]]. 
 +
;LER PARA O PRÓXIMO ENCONTRO:
 +
*Seção 4.1 a 4.5 de [http://www.vhdl.us/book/Pedroni_VHDL_3E_Chapter4.pdf Review of Field Programmable Gate Arrays (FPGAs)], Volnei A. Pedroni. (ou para quem preferir em português, leia as seções 18.1 a 18.3 do livro: PEDRONI, Volnei A '''Eletrônica Digital Moderna e VHDL: Princípios Digitais, Eletrônica Digital, Projeto Digital, Microeletrônica e VHDL'''; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. ISBN 9788535234657. Têm 16 exemplares disponíveis na [https://biblioteca.ifsc.edu.br/ Biblioteca do campus]. Número de chamada: 621.392 P372e)
 +
*Seção 2.1 Dispositivos lógicos programáveis do TCC [[Integração de ramais analógicos com FPGA utilizando processador softcore]], Renan Rodolfo da Silva.
  
;Aula 2 (7 Out):
+
;Encontro 2 (28 jul):
 
*Introdução aos dispositivos lógicos programáveis:
 
*Introdução aos dispositivos lógicos programáveis:
 
:* Conceito, tipos de PLDs  
 
:* Conceito, tipos de PLDs  
::* SPLD: PAL, PLA e GAL
+
:* SPLD:  
::* CPLDs
+
::*PAL (e.g. [[Media: PAL16.pdf| PAL16 da Texas Instruments (1984)]])
{{collapse top | Exemplos de PLDs}}
+
::*PLA (e.g. [[Media: PLS100.pdf| PLS100 da Philips Semiconductors (1993)]])
{{fig|1.1|Macrobloco do PLD EP300 da ALTERA| ep310_macrocell.jpg| 400 px | https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html}}
+
::*GAL (e.g. [[Media: GAL16V8.pdf| GAL16V8 da Lattice (2004)]])
 +
:* CPLDs (e.g. [[Media: ATF2500C.pdf| ATF2500C da Atmel (2008)]], [https://br.mouser.com/c/ds/semiconductors/programmable-logic-ics/cpld-complex-programmable-logic-devices/ Complex Programmable Logic Devices Datasheets - Mouser])
 +
 
 +
{{collapse top | expand=true| Exemplos de PLDs}}
 +
{{fig|1.1|Exemplo de PAL| PedroniFig4_4a.png| 400 px | http://www.vhdl.us/book/Pedroni_VHDL_3E_Chapter4.pdf}}
 +
 
 +
{{fig|1.2|Exemplo de PLA| PedroniFig4_4b.png| 400 px | http://www.vhdl.us/book/Pedroni_VHDL_3E_Chapter4.pdf}}
 +
 
 +
{{fig|1.3|Macrobloco do PLD EP300 da ALTERA| ep310_macrocell.jpg| 400 px | https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html}}
  
{{fig|1.2|Macrocélula dos PLDs Clássicos EP600, EP900, EP1800 da ALTERA (1999)| Macrocell_EP900.png| 400 px | https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf}}
+
{{fig|1.4|Macrocélula dos PLDs Clássicos EP600, EP900, EP1800 da ALTERA (1999)| Macrocell_EP900.png| 400 px | https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf}}
  
{{fig|1.3a|Architetura do PLD EP1800 da ALTERA| ep1800_block_diagram.jpg| 400 px | https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html}}
+
{{fig|1.5|Architetura do PLD EP1800 da ALTERA| ep1800_block_diagram.jpg| 400 px | https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html}}
  
{{fig|1.3b|Architetura do PLD EP1800 da ALTERA| ep1800_block_diagram2.jpg| 400 px | https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf}}
+
{{fig|1.6|Architetura do PLD EP1800 da ALTERA| ep1800_block_diagram2.jpg| 400 px | https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf}}
  
{{fig|1.5|Pinagem e tipos de encapsulamento do PLD EP1800 da ALTERA| PackagePinOut_EP1810.png| 400 px | https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf }}
+
{{fig|1.7|Pinagem e tipos de encapsulamento do PLD EP1800 da ALTERA| PackagePinOut_EP1810.png| 400 px | https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf }}
  
{{fig|1.6|Architetura do CPLD MAX 5000 da ALTERA| max_5000_architecture.jpg| 400 px | https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html}}
+
{{fig|1.8|Architetura do CPLD MAX 5000 da ALTERA| max_5000_architecture.jpg| 400 px | https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html}}
 
{{collapse bottom}}
 
{{collapse bottom}}
  
:* Preços
+
:* Ver preços em
::* [https://www.altera.com/buy/devices.html ALTERA]/[https://www.intel.com/content/www/us/en/programmable/buy/devices.html INTEL], [https://www.arrow.com/en/products/search?prodLine=FPGAs&q=FPGA ARROW],[http://www.digikey.com/product-search/en/integrated-circuits-ics/embedded-fpgas-field-programmable-gate-array/2556262?k=fpga Digikey]
+
::*[https://www.arrow.com/ ARROW]
 +
::*[https://www.digikey.com/ Digikey]
 +
::*[https://mouser.com Mouser Electronics]
 +
::*[https://www.newark.com/ Newark]
 
:* Fabricantes de DLPs/FPGAs e familias de DLPs atuais.
 
:* Fabricantes de DLPs/FPGAs e familias de DLPs atuais.
 
::*[https://www.altera.com/products/fpga/overview.html ALTERA/INTEL] - Stratix, Arria, Cyclone, Max
 
::*[https://www.altera.com/products/fpga/overview.html ALTERA/INTEL] - Stratix, Arria, Cyclone, Max
::*[https://www.xilinx.com/products/silicon-devices/fpga.html Xilinx] - Virtex, Kintex, Artix, Zynq (SoC)
+
::*[https://www.xilinx.com/products/silicon-devices/fpga.html Xilinx/AMD] - Virtex, Kintex, Artix, Zynq (SoC)
::*[http://www.microsemi.com/products/fpga-soc/fpgas Microsemi] - Igloo
+
::*[https://www.microchip.com/en-us/products/fpgas-and-plds/fpgas Microchip] - Igloo, PolarFire
 
::*[http://www.latticesemi.com/Products.aspx#_D5A173024E414501B36997F26E842A31 Lattice]  - ECP, iCE, Mach
 
::*[http://www.latticesemi.com/Products.aspx#_D5A173024E414501B36997F26E842A31 Lattice]  - ECP, iCE, Mach
  
:* Ver também:  
+
;PARA O PRÓXIMO ENCONTRO:
::* [[Níveis lógicos]]
+
*[https://www.intel.com/content/www/us/en/products/details/fpga/platforms.html See why Microsoft chose Intel FPGAs to accelerate their next generation cloud infrastructure]
::* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#History FPGA History]
+
*[https://www.youtube.com/watch?v=ecyyZ6zTLic Microsoft's Bing* Intelligent Search with Intel® FPGAs]
::* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#Design_and_programming FPGA Design and programming]
 
::* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#Basic_process_technology_types FPGA Basic process technology types]
 
::* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#Major_manufacturers FPGA Major manufacturers]
 
::* [http://www.vhdl.us/book/Pedroni_VHDL_3E_Chapter4.pdf Review of Field Programmable Gate Arrays (FPGAs)] by Volnei A. Pedroni
 
  
;Aula 3 (14 out):
+
;Encontro 3 (1 ago):
* Arquitetura de FPGAs (Xilinx e Altera): CLB, LAB, RAM, DSP, Clock, PLL, I/O
+
* [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom_(Di%C3%A1rio)_-_Prof._Marcos_Moecke#AE2_-_Conhecendo_os_dispositivos_l.C3.B3gicos_program.C3.A1veis Laboratório AE2 - Conhecendo os dispositivos lógicos programáveis] - Passo 1 e 2
{{collapse top | Exemplos de FPGA}}
 
  
{{fig|1.7|Arquitetura simplificada de FPGA da Intel/Altera e Xilinx | ArquiteturaFPGAs.png| 600 px | <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657 </ref> pag. 423}}
+
;PARA O PRÓXIMO ENCONTRO:
 +
* Leia a assista a alguns dos vídeos sobre a historia e processo de produção dos chips.
 +
:*[https://www.semiwiki.com/forum/content/1535-brief-history-fabless-semiconductor-industry.html A Brief History of the Fabless Semiconductor Industry]
 +
:*[http://www.semiwiki.com/forum/content/1539-brief-history-tsmc.html Taiwan Semiconductor Manufacturing Corporation (TSMC)], [http://www.globalfoundries.com/ GLOBALFOUNDRIES]
 +
:*[https://www.linkedin.com/pulse/free-copy-fabless-transformation-semiconductor-industry-daniel-nenni-1 Fabless: The Transformation of the Semiconductor Industry, 2014] - Download free
 +
:*[https://www.youtube.com/watch?v=W3rfVpkNquA Produção do FinFET], [https://www.youtube.com/watch?v=d9SWNLZvA8g]
 +
:*[https://en.wikipedia.org/wiki/3_nm_process 3 nm process], [https://en.wikipedia.org/wiki/Multigate_device multi-gate MOSFET]
 +
:*[https://www.youtube.com/watch?v=UvluuAIiA50 GLOBALFOUNDRIES Sand to Silicon], [https://www.youtube.com/watch?v=F2KcZGwntgg How Microchips are made] - Processo de fabricação de um chip
 +
:*[https://en.wikipedia.org/wiki/Foundry_model Foundries], [https://en.wikipedia.org/wiki/List_of_semiconductor_fabrication_plants List of semiconductor fabrication plants]
 +
:*[https://www.youtube.com/watch?v=GU87SH5e0eI A dependência do mundo com a TMSC]
  
{{fig|1.8|Diagrama simplificado da Slice L de um FPGA Xilinx | SliceL_FPGAs.png| 600 px | <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657 </ref> pag. 424}}
+
;Encontro 4 (4 ago):
 +
* Arquitetura de FPGAs (Xilinx e Altera): CLB, LAB, LUT, Flip_flop D, RAM, DSP, Clock, PLL, DLL, I/O
 +
{{collapse top | expand=true |Exemplos de FPGA}}
  
{{fig|1.9|Diagrama simplificado da ALM de um FPGA Intel/Altera | ALM_FPGAs.png| 600 px | <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657 </ref> pag. 425}}
 
  
{{fig|1.10|Leiaute de um FPGA Intel/Altera | Leiaute_FPGAs.png| 600 px | <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657 </ref> pag. 426}}
+
{{fig|1.7|Arquitetura de um FPGA | Architecture_FPGAs.png| 600 px | https://www.intel.com/content/www/us/en/docs/programmable/683176/18-1/fpga-overview-opencl-standard.html}}
 +
 
 +
{{fig|1.8|Diagrama simplificado da CLB de um FPGA ARM/Xilinx | CLB_FPGAs.jpg| 600 px | https://www.sciencedirect.com/science/article/pii/B9780750678667500032#f14}}
 +
 
 +
{{fig|1.9|Diagrama simplificado da ALM de um FPGA Intel/Altera | ALM_LUT_FPGAs.png| 600 px | https://www.intel.com/content/www/us/en/content-details/771003/fpga-architecture-8-input-lut-legacy-white-paper.html}}
 +
 
 +
{{fig|1.10|Arquitetura do Cyclone® V Intel/Altera | CycloneV_FPGAs.jpg| 600 px | https://www.intel.com.br/content/www/br/pt/products/details/fpga/cyclone/v/article.html}}
  
 
{{fig|1.11|Leiaute de um FPGA Xilinx genérico | Leiaute2_FPGAs.jpg| 600 px | https://www.sciencedirect.com/science/article/pii/B9780750678667500032 }}
 
{{fig|1.11|Leiaute de um FPGA Xilinx genérico | Leiaute2_FPGAs.jpg| 600 px | https://www.sciencedirect.com/science/article/pii/B9780750678667500032 }}
Linha 69: Linha 92:
 
{{collapse bottom}}
 
{{collapse bottom}}
  
:* Vizualização no Chip Planner de um projeto. (importante todos alunos terem [[Acesso ao IFSC-CLOUD (NUVEM)]]
+
 
:* Siga o procedimento descrito em: [[Conhecendo os dispositivos lógicos programáveis]]
+
;PARA O PRÓXIMO ENCONTRO:
 +
* Leia a assista a alguns dos vídeos sobre FPGAs.
 +
:*[https://youtu.be/v2ZloNgU-EE Tecnologia FPGA Intel - Macnica DHW]
 +
:*[https://www.sciencedirect.com/science/article/pii/B9780750678667500032 Chapter 2 - FPGA Fundamentals], R.C. Cofer, Benjamin F. Harding, Science Direct
 +
:*[https://edisciplinas.usp.br/pluginfile.php/530826/mod_resource/content/1/DISPOSITIVOS%20L%C3%93GICOS%20PROGRAM%C3%81VEIS_2014.pdf Dispositivos Lógicos Programáveis], L.M.R. Codá, USP
 +
 
 +
;CURIOSIDADES:
 +
 
 +
* Quais são os tipos de [[Níveis lógicos]] utilizados.
 +
* [[Como as portas lógicas são implementadas com transistores CMOS]]
 +
*[https://www.computerhistory.org/siliconengine/timeline/ The Silicon Engine Timeline], Computer History Museum (CHM)
 +
*[https://www10.edacafe.com/book/ASIC/CH04/CH04.1.php The Antifuse], [https://www.youtube.com/watch?v=7qa1dsCpMMo EEPROM], [https://www.youtube.com/watch?v=ELl3abwYQ90&list=PL9B4edd-p2agcmJl2EscTdLudLv58uXHt&index=1 What Is Flash Memory]
 +
*[https://numato.com/blog/differences-between-fpga-and-asics/ FPGA Vs ASIC: Differences Between Them And Which One To Use?]
 +
 
 +
;Encontro 5 (8 ago):
 +
* [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom_(Di%C3%A1rio)_-_Prof._Marcos_Moecke#AE2_-_Conhecendo_os_dispositivos_l.C3.B3gicos_program.C3.A1veis Laboratório AE2 - Conhecendo os dispositivos lógicos programáveis] - Passo 3 e 4
 +
*Exemplo de um Circuito para cálculo da '''distância de Hamming'''.
 +
:*[[Medição de tempos de propagação em circuitos combinacionais]]
 +
:* Os alunos devem utilizar a nuvem para realizar a atividade.
 +
:*[https://wiki.sj.ifsc.edu.br/index.php/Medi%C3%A7%C3%A3o_de_tempos_de_propaga%C3%A7%C3%A3o_em_circuitos_combinacionais#Inserindo_restri.C3.A7.C3.B5es_de_atraso_m.C3.A1ximo_para_o_compilador Inserindo restrições de atraso máximo para o compilador]
 +
:* Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal; observar os atrasos de propagação na simulação temporal.
 +
;PARA CONHECER MAIS:
 
* Ler pag. 413 a 431 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657 </ref> ou pag. 495 a 501 de de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p.  ISBN  9780262014335 </ref>.
 
* Ler pag. 413 a 431 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657 </ref> ou pag. 495 a 501 de de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p.  ISBN  9780262014335 </ref>.
* Assitir [https://www.coursera.org/lecture/intro-fpga-design-embedded-systems/1-many-types-of-fpgas-EWo0n Many types of FPGAs] Coursera
+
* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#History FPGA History]
 +
* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#Design_and_programming FPGA Design and programming]
 +
* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#Basic_process_technology_types FPGA Basic process technology types]
 +
* [https://en.wikipedia.org/wiki/Field-programmable_gate_array#Major_manufacturers FPGA Major manufacturers]
 +
* Assistir [https://www.coursera.org/lecture/intro-fpga-design-embedded-systems/1-many-types-of-fpgas-EWo0n Many types of FPGAs] Coursera
 +
* O que é um Schmitt trigger?
 +
:*[https://youtu.be/d-7Oyd8o8hE?t=93  Um pouco de lab] - lab até o minuto 5:20, depois um exemplo com AMPOP
 +
:*[https://howtomechatronics.com/how-it-works/electrical-engineering/schmitt-trigger/ como funciona]
 +
* O que é a JTAG?
 +
:*[https://www.corelis.com/educationdownload/JTAG-Tutorial.pdf Como funciona para testes e programação] - In-System-Programming pag.7
 +
;Notícias recentes do mundo dos DLPs:
 +
*[https://newsroom.intel.com/press-kits/intel-acquisition-of-altera/#:~:text=Intel%20and%20Altera%20announced%20on,transaction%20closed%20December%2028%2C%202015.  Intel Acquisiton of Altera]
 +
<i>
 +
:Intel and Altera announced on June 1, 2015, that they had entered into a definitive agreement under which Intel would acquire Altera for $54 per share in an all-cash transaction valued at approximately '''$16.7 billion'''. The transaction closed December 28, 2015.
 +
</i>
  
;Leituras complementares para a unidade:
+
*[https://press.siemens.com/global/en/event/siemens-closes-acquisition-mentor-graphics Siemens closes acquisition of Mentor Graphics (Munich, 14 November 2016)]
 +
<i>
 +
:With the recent closing of its acquisition of electronic design automation (EDA) software leader, Mentor Graphics Corporation, Siemens sets out to underscore the significant customer value it envisions for both Electronic Systems and Integrated Circuit (IC) design tools. Mentor is now part of Siemens' product lifecycle management (PLM) software business, making the combined organization the world's leading supplier of industrial software used for product design, simulation, verification, testing and manufacturing. Siemens completes '''$4.5 billion''' purchase of Mentor Graphics [https://www.oregonlive.com/silicon-forest/2017/03/siemens_completes_45_billion_p.html].
 +
</i>
  
* Historia, processo de produção dos chips.
+
*[https://www.amd.com/en/press-releases/2022-02-14-amd-completes-acquisition-xilinx  AMD Completes Acquisition of Xilinx], [https://www.crn.com/news/components-peripherals/amd-completes-49b-xilinx-acquisition-largest-chip-deal-in-history AMD Completes $49B Xilinx Acquisition, Largest Chip Deal In History]
:*[https://www.semiwiki.com/forum/content/1535-brief-history-fabless-semiconductor-industry.html A Brief History of the Fabless Semiconductor Industry]
+
<i>
:*[http://www.semiwiki.com/forum/content/1539-brief-history-tsmc.html Taiwan Semiconductor Manufacturing Corporation (TSMC)], [http://www.globalfoundries.com/ GLOBALFOUNDRIES]
+
:AMD (NASDAQ: AMD) today (SANTA CLARA, Calif. 02/14/2022) announced the completion of its acquisition of Xilinx in an all-stock transaction. The acquisition, originally announced on October 27, 2020, creates the industry’s high-performance and adaptive computing leader with significantly expanded scale and the strongest portfolio of leadership computing, graphics and adaptive SoC products.  
:*[https://www.linkedin.com/pulse/free-copy-fabless-transformation-semiconductor-industry-daniel-nenni-1 Fabless: The Transformation of the Semiconductor Industry, 2014] - Download free
+
:AMD said it has completed its '''$49 billion''' acquisition of Xilinx to create the “industry’s high-performance and adaptive computing leader,” marking the largest chip deal in history. With the acquisition, AMD is expanding beyond its purview of CPUs and GPUs with a large portfolio of reprogrammable chips called field programmable gate arrays, or FPGAs, that it said will significantly expand the company’s opportunities in data centers, embedded computing and telecommunications. Xilinx also has a footprint in other markets, like defense, broadcast and consumer electronics, which will help expand its total addressable market to $135 billion from $80 billion, according to AMD.
:*[https://en.wikipedia.org/wiki/14_nanometer 14nm FinFET Technology], [https://www.youtube.com/watch?v=Jctk0DI7YP8 Funcionamento do FinFET]
+
</i>
:*[https://www.youtube.com/watch?v=W3rfVpkNquA Produção do FinFET], [https://www.youtube.com/watch?v=d9SWNLZvA8g]
+
 
:*[https://en.wikipedia.org/wiki/5_nm_process 5 nm process], [https://en.wikipedia.org/wiki/Multigate_device multi-gate MOSFET]
+
<!--
:*[https://www.youtube.com/watch?v=UvluuAIiA50 GLOBALFOUNDRIES Sand to Silicon], [https://www.youtube.com/watch?v=F2KcZGwntgg How Microchips are made] - Processo de fabricação de um chip
 
:*[https://en.wikipedia.org/wiki/Foundry_model Foundries], [https://en.wikipedia.org/wiki/List_of_semiconductor_fabrication_plants List of semiconductor fabrication plants]
 
  
*[https://www.sciencedirect.com/topics/computer-science/one-time-programmable One-Time Programmable] Science Direct
 
*http://www.dcc.ufrj.br/~gabriel/circlog/DispLogPro.pdf
 
*https://edisciplinas.usp.br/pluginfile.php/530826/mod_resource/content/1/DISPOSITIVOS%20L%C3%93GICOS%20PROGRAM%C3%81VEIS_2014.pdf
 
;Curiosidades do mundo digital:
 
*[https://www.computerhistory.org/siliconengine/timeline/ The Silicon Engine Timeline]
 
  
 +
;Encontro 6 (28 fev.):
 +
* [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom_(Di%C3%A1rio)_-_Prof._Marcos_Moecke#AE2_-_Conhecendo_os_dispositivos_l.C3.B3gicos_program.C3.A1veis Laboratório AE2 - Conhecendo os dispositivos lógicos programáveis] - Passo 3
 +
*Exemplo de um Circuito para cálculo da '''distância de Hamming'''.
 +
:*[[Medição de tempos de propagação em circuitos combinacionais]]
 +
:* Os alunos devem utilizar a nuvem para realizar a atividade.
 +
:* O professor estará disponível através do chat da UC e pelo link de meet disponibilizado no chat.[https://wiki.sj.ifsc.edu.br/index.php/Medi%C3%A7%C3%A3o_de_tempos_de_propaga%C3%A7%C3%A3o_em_circuitos_combinacionais#Inserindo_restri.C3.A7.C3.B5es_de_atraso_m.C3.A1ximo_para_o_compilador Inserindo restrições de atraso máximo para o compilador]
 +
:* Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal; observar os atrasos de propagação na simulação temporal.
 +
-->
 
{{collapse bottom}}
 
{{collapse bottom}}
  
 
===Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS===
 
===Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS===
* 5 ENCONTROS
+
* 10 ENCONTROS
{{collapse top| Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS}}
+
{{collapse top| expand = true | Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS}}
  
;Aula 4 (19 out):
+
;Encontro 6 (11 ago.)
 
* Introdução ao VHDL e ambiente EDA - QUARTUS
 
* Introdução ao VHDL e ambiente EDA - QUARTUS
 
* Estrutura do código VHDL
 
* Estrutura do código VHDL
Linha 151: Linha 213:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
Exemplo de descrição de um multiplexador de 4 entradas
+
;Encontro 8 (7 mar.)
 +
* Exemplo - Descrição de um multiplexador de 4 entradas
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
 
entity mux_novo is
 
entity mux_novo is
Linha 214: Linha 277:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
;Aula 5 (20 out):
 
 
* Faça a análise e sintese do mux_novo, associando a architecture v_logica_pura, depois v_WITH_SELECT, depois v_WHEN e por último v_IF_ELSE.   
 
* Faça a análise e sintese do mux_novo, associando a architecture v_logica_pura, depois v_WITH_SELECT, depois v_WHEN e por último v_IF_ELSE.   
 
* Note a diferença entre os RTL Viewer obtidos para cada architecture.
 
* Note a diferença entre os RTL Viewer obtidos para cada architecture.
Linha 221: Linha 283:
 
{{fig|2.3|Código RTL do mux 4x1 v_WITH_SELECT| RTL_mux4x1v3.png| 200 px |}}
 
{{fig|2.3|Código RTL do mux 4x1 v_WITH_SELECT| RTL_mux4x1v3.png| 200 px |}}
 
:'''OBS''': [https://en.wikipedia.org/wiki/Register-transfer_level Register Transfer-Level] (RTL) é uma abstração na qual o circuito é descrito em termos de fluxo de sinais entre os registradores presentes no hardware e as operações combinacionais realizadas com esses dados.
 
:'''OBS''': [https://en.wikipedia.org/wiki/Register-transfer_level Register Transfer-Level] (RTL) é uma abstração na qual o circuito é descrito em termos de fluxo de sinais entre os registradores presentes no hardware e as operações combinacionais realizadas com esses dados.
 
  
 
* Note a que ao verificar o Technology Map Viewer, nos 3 primeiros casos serão usados os mesmos elementos lógicos.
 
* Note a que ao verificar o Technology Map Viewer, nos 3 primeiros casos serão usados os mesmos elementos lógicos.
  
 
{{fig|2.4|Technology Map do mux 4x1 para a família Cyclone | TM_mux4x1.png| 400 px |}}
 
{{fig|2.4|Technology Map do mux 4x1 para a família Cyclone | TM_mux4x1.png| 400 px |}}
 
  
 
* Note que o elemento lógico acima possui uma LUT (LookUp Table) que basicamente implementa o circuito combinacional através de uma tabela de consulta (Tabela Verdade), a qual pode ser visualizada clicando com o botão Direito do Mouse e selecionando Properties, juntamente com Mapa de Karnaugh e seu Circuito Lógico representado por portas. Todas as representações são equivalentes.
 
* Note que o elemento lógico acima possui uma LUT (LookUp Table) que basicamente implementa o circuito combinacional através de uma tabela de consulta (Tabela Verdade), a qual pode ser visualizada clicando com o botão Direito do Mouse e selecionando Properties, juntamente com Mapa de Karnaugh e seu Circuito Lógico representado por portas. Todas as representações são equivalentes.
Linha 237: Linha 297:
 
{{fig|2.5|Technology Map do mux 4x1 para a família Stratix III | TM_mux4x1_S3.png| 400 px |}}
 
{{fig|2.5|Technology Map do mux 4x1 para a família Stratix III | TM_mux4x1_S3.png| 400 px |}}
  
 +
;Encontro 7 (15 ago.)
  
 
* Exemplo 2.2 (VHDL) - programação de um flip-flop
 
* Exemplo 2.2 (VHDL) - programação de um flip-flop
Linha 276: Linha 337:
 
{{fig|2.5|RTL 4 FF| RTL_4FF.png| 400 px |}}
 
{{fig|2.5|RTL 4 FF| RTL_4FF.png| 400 px |}}
  
;Aula 6 (21 out) - presencial:
 
*Realização da [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom(2021.2)_-_Prof._Marcos_Moecke#AE2_-_Conhecendo_os_dispositivos_l.C3.B3gicos_program.C3.A1veis Atividade AE2 no laboratório].
 
*Primeira aula presencial depois da longa Pandemia
 
  
 
;Aula 7  (26 out):
 
 
* Uso de alguns sites auxiliares para a programação em VHDL:
 
* Uso de alguns sites auxiliares para a programação em VHDL:
 
:*[[Preparando para gravar o circuito lógico no FPGA]]
 
:*[[Preparando para gravar o circuito lógico no FPGA]]
 
:*[[Palavras reservadas do VHDL]]
 
:*[[Palavras reservadas do VHDL]]
 
:*[http://g2384.github.io/work/VHDLformatter.html VHDL Beautifier, Formatter] - para formatar automaticamente um código VHDL.
 
:*[http://g2384.github.io/work/VHDLformatter.html VHDL Beautifier, Formatter] - para formatar automaticamente um código VHDL.
:*[[Media:Quartus_II_Simulation.pdf | Tutorial do QSIM - Introduction to Simulation of VHDL Designs]] da ALTERA.
 
*Exemplo de um contador em VHDL. [https://en.wikipedia.org/wiki/VHDL#Example:_a_counter COUNTER] na página de  VHDL da Wikipedia.
 
:*[[Restringir a frequencia máxima de clock no Quartus II]]
 
:*[[Medição de tempos de propagação em circuitos combinacionais]]
 
 
:* Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal; observar os atrasos de propagação na simulação temporal.
 
  
*Revisitando o Básico de simulação funcional e temporal com o QSIM.
+
;Encontro 8 (18 ago.)
  
:*Realize as simulações funcional e temporal do circuito '''Flip-Flop4''' ou '''Counter''' usando o QSIM.
+
*[[Simulação Funcional usando o ModelSim]]
{{fig|2.6|Simulação Funcional de 4 FF 100ns| SIM1_4FF.png| 800 px |}}
+
*Funcionamento do ModelSim: GUI, caracteristicas, testbench
 +
*Prática do Modelsim com bin2bcd
 +
*Faça a simulação inserindo os valores 64, 99, 09, 00, 01, 109, 190. 
 +
*Perceba que com 7 bits na entrada, o número 190 não é representado corretamente, pois necessita de 8 bits.
 +
*Perceba que a conversão para BCD do número 109 não é correta, pois o algoritmo implementado só preve a separação de dezena e unidade, e portanto não trata a centena.
  
{{fig|2.7|Simulação Temporal de 4 FF 100ns| SIM2_4FF.png| 800 px |}}
+
;Encontro 9 (22 ago.)
 
+
* [[Simulação Funcional usando o ModelSim]] - completar passos 11 e 12.
Note que na simulação funcional a mudança da saída Q ocorre no instante em que ocorre a borda de subida do clock ou no momento do reset.  No entanto, no caso da simulação com timing, existe um atraso de ~6ns nestas mudanças.
 
 
 
''IMPORTANTE:''  Na prática normalmente não é necessário fazer a simulação temporal, pois através do Time Quest Report é possível verificar se o circuito atende as restrições de tempo. 
 
 
 
* Para definir as restrições de tempo do clock por exemplo, pode ser adicionado um arquivo .sdc ao projeto definindo a frequência do clock esperada  através da seguinte linha:
 
 
 
create_clock -name CLK50MHz -period 50MHz [get_ports {*}]
 
 
 
*Ver pag. 3 a 24 de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p.  ISBN  9780262014335 </ref>
 
 
 
 
 
<big>  Video motivational para apreender FPGA, VHDL [https://youtu.be/ecyyZ6zTLic Microsoft's Bing* Intelligent Search with Intel® FPGAs] </big>
 
 
 
;Aula 8 (28 out):
 
*SNCT 2021 Palestra:  5G e Conectividade com IoT
 
*Entregar atividade [https://moodle.ifsc.edu.br/mod/assign/view.php?id=520436 AE3 - SNCT 2021 | 5G e Conectividade com IoT]
 
 
 
;Aula 9  (3 nov):
 
 
* Uso das bibliotecas no VHDL.
 
* Uso das bibliotecas no VHDL.
 
:*Library '''std'''
 
:*Library '''std'''
Linha 330: Linha 366:
 
use std.textio.all;
 
use std.textio.all;
 
</syntaxhighlight>
 
</syntaxhighlight>
*Onde estão os arquivos dessa biblioteca na versão Quartus II instalada nos computadores do IFSC e na IFSC_CLOUD?
+
*Onde estão os arquivos dessa biblioteca na versão Quartus instalada nos computadores do IFSC e na IFSC_CLOUD?
  ls /opt/altera/13.0sp1/quartus/libraries/vhdl/std
+
  ls /opt/intelFPGA/20.1/quartus/libraries/vhdl/std  
  
 
:*Library '''ieee'''
 
:*Library '''ieee'''
Linha 358: Linha 394:
 
use ieee.std_logic_unsigned.all;
 
use ieee.std_logic_unsigned.all;
 
</syntaxhighlight>
 
</syntaxhighlight>
 
 
*Onde estão os arquivos dessa biblioteca na versão Quartus II  versão 13.0sp1 instalada nos computadores do IFSC e na IFSC_CLOUD?
 
*Onde estão os arquivos dessa biblioteca na versão Quartus II  versão 13.0sp1 instalada nos computadores do IFSC e na IFSC_CLOUD?
 
::Os pacotes padrão:
 
::Os pacotes padrão:
  ls /opt/altera/13.0sp1/quartus/libraries/vhdl/ieee
+
  /opt/intelFPGA/20.1/quartus/libraries/vhdl/ieee
  
 
::Os pacotes não padrão:
 
::Os pacotes não padrão:
  ls /opt/altera/13.0sp1/quartus/libraries/vhdl/mentor/arithmetic  (Mentor Graphics)
+
  /opt/intelFPGA/20.1/quartus/libraries/vhdl/mentor/arithmetic  (Mentor Graphics)
  ls /opt/altera/13.0sp1/quartus/libraries/vhdl/synopsys/ieee (Synopsys)
+
  /opt/intelFPGA/20.1/quartus/libraries/vhdl/synopsys/ieee (Synopsys)
  
 
*Os arquivos dessa biblioteca do padrão (versão 2008) estão apenas disponíveis a partir do Quartus II  versão 16.0 instalado na IFSC_CLOUD?
 
*Os arquivos dessa biblioteca do padrão (versão 2008) estão apenas disponíveis a partir do Quartus II  versão 16.0 instalado na IFSC_CLOUD?
 
  ls /opt/altera/16.0/quartus/libraries/vhdl/ieee/2008
 
  ls /opt/altera/16.0/quartus/libraries/vhdl/ieee/2008
  
:* Exemplo 2.3 (VHDL e QSIM) - programação de um circuito somador com registrador
+
* Exemplo 2.3 (VHDL e Modelsim) - programação de um circuito somador com registrador
:: Realizar as simulações funcional e temporal do circuito
+
: Realizar a simulação funcional do circuito
:: Observar o "Technology Map" e o "RTL" do circuito
+
: Observar o "Technology Map" e o "RTL" do circuito
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
 
  LIBRARY ieee;
 
  LIBRARY ieee;
Linha 399: Linha 434:
 
  END ARCHITECTURE;
 
  END ARCHITECTURE;
 
</syntaxhighlight>
 
</syntaxhighlight>
:: Acrescente saídas para o sinal '''sum''' e para o sinal '''comp''', de modo a poder observar estes sinais no simulador QSIM e realize novas simulações funcional e temporal.
+
:: Acrescente saídas para o sinal '''sum''' e para o sinal '''comp''', de modo a poder observar estes sinais no simulador Modelsim e realize nova simulação funcional.
 
{{fig|2.10|Código RTL do Exemplo 2.3| RTL_Ex2_3_Pedronib.png| 400 px |}}
 
{{fig|2.10|Código RTL do Exemplo 2.3| RTL_Ex2_3_Pedronib.png| 400 px |}}
  
'''Preparação para o '''
+
;Encontro 10 (23 ago.)
Laboratório de programação de um contador. Problema do repique das chaves.
+
*Exemplo de um '''contador''' em VHDL. [https://en.wikipedia.org/wiki/VHDL#Example:_a_counter COUNTER] na página de  VHDL da Wikipedia.
Ver [[Dicas de como eliminar o repique das chaves mecânicas]]
+
<syntaxhighlight lang=vhdl>
Ler sobre o problema do repique das chaves mecânicas [https://my.eng.utah.edu/~cs5780/debouncing.pdf A Guide to Debouncing]
+
library IEEE;
 +
use IEEE.std_logic_1164.all;
 +
use IEEE.numeric_std.all;    -- for the unsigned type
 +
 
 +
entity COUNTER is
 +
  generic (
 +
    WIDTH : in natural := 32);
 +
  port (
 +
    RST  : in std_logic;
 +
    CLK  : in std_logic;
 +
    LOAD  : in std_logic;
 +
    DATA  : in std_logic_vector(WIDTH-1 downto 0);
 +
    Q    : out std_logic_vector(WIDTH-1 downto 0));
 +
end entity COUNTER;
  
;Aula 10 e 12 (5 e 11 nov) - presencial:
+
architecture RTL of COUNTER is
*Realização da [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom(2021.2)_-_Prof._Marcos_Moecke#AE4_-_Programa.C3.A7.C3.A3o_do_kit_Mercurio_IV Atividade AE4 no laboratório].
 
No dia 10 foram realizados os passos 1 a 2.  Mas como houve um erro na definição da pinagem da chave usada para o clock, com isso, ao final do lab o circuito não funcionou.
 
No dia 12 será refeito o circuito, testado novamente (Passo 3) e acrescentado o circuito de anti-repique sugerido
 
{{collapse bottom}}
 
  
===Unidade 3 - Tipos de Dados e Operadores em VHDL===
+
begin
* 9 AULAS
 
  
{{collapse top | expand=true |  Unidade 3 - Tipos de Dados e Operadores em VHDL}}
+
  process(all) is
;Aula 11 (9 nov):
+
  begin
*Comentários no código (duplo traço --)
+
    if RST then
  -- Isso eh uma linha de comentario
+
      Q <= (others => '0');
 +
    elsif rising_edge(CLK) then
 +
      if LOAD='1' then
 +
        Q <= DATA;
 +
      else
 +
        Q <= std_logic_vector(unsigned(Q) + 1);
 +
      end if;
 +
    end if;
 +
  end process;
 +
 
 +
end architecture RTL;
 +
</syntaxhighlight>
 +
 
 +
:*[[Restringir a frequencia máxima de clock no Quartus II]]
 +
:* Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal (considerando o tempo de propagação). Em função da dificuldade na realização da simulação temporal com o Modelsim, será utilizado excepcionalmente o QSIM para este fim.
 +
 
 +
*Ao realizar as simulações funcional e temporal do circuito '''Flip-Flop4''' ou do '''Counter''', será possível perceber que enquanto na simulação funcional, as mudanças ocorrem instantaneamente, na temporal, todos os tempos de propagação em vias e nos elementos lógicos são considerados.  OBserve atentamente nas duas figuras a seguir as diferenças.
 +
 
 +
{{fig|2.6|Simulação funcional com QSIM de 4 FF - 100ns| SIM1_4FF.png| 800 px |}}
 +
 
 +
{{fig|2.7|Simulação Temporal com QSIM de 4 FF - 100ns| SIM2_4FF.png| 800 px |}}
 +
 
 +
:Note que na simulação funcional a mudança da saída Q ocorre no instante em que ocorre a borda de subida do clock ou no momento do reset.  No entanto, no caso da simulação com timing, existem atrasos variáveos de cerca de ~6ns nestas mudanças.
 +
 
 +
''IMPORTANTE:''  Na prática normalmente não é necessário fazer a simulação temporal, pois através do Time Quest Report é possível verificar se o circuito atende as restrições de tempo. 
 +
 
 +
* Para definir as restrições de tempo do clock por exemplo, pode ser adicionado um arquivo .sdc ao projeto definindo a frequência do clock esperada  através da seguinte linha:
 +
 
 +
create_clock -name CLK50MHz -period 50MHz [get_ports {*}]
 +
 
 +
*Ver pag. 3 a 24 de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p.  ISBN  9780262014335 </ref>
 +
 
 +
 
 +
;Encontro 11 e 12 (25 e 29 ago.)
 +
:* utilizar o código do '''contador''' em VHDL. [https://en.wikipedia.org/wiki/VHDL#Example:_a_counter COUNTER] na página de  VHDL da Wikipedia.
 +
:* Definir a pinagem das chaves e leds conforme o kit a ser utilizado.
 +
:* Perceber o problema do repique das chaves.
 +
::Ver [[Dicas de como eliminar o repique das chaves mecânicas]]
 +
:: Ler sobre o problema do repique das chaves mecânicas [https://my.eng.utah.edu/~cs5780/debouncing.pdf A Guide to Debouncing]
 +
*Realizar [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom_(Di%C3%A1rio)_-_Prof._Marcos_Moecke#AE3_-_Programa.C3.A7.C3.A3o_do_kit_Mercurio_IV AE3 - Programação do kit Mercurio IV].
 +
*Medir o repique da chave e a solução com osciloscópio.
 +
{{collapse bottom}}
 +
 
 +
===Unidade 3 - Tipos de Dados e Operadores em VHDL===
 +
* 10 ENCONTROS
 +
{{collapse top | expand=true |  Unidade 3 - Tipos de Dados e Operadores em VHDL}}
 +
;Encontro 13  (1 set.)
 +
*Comentários no código (duplo traço --)
 +
  -- Isso eh uma linha de comentario
 
  y <= a * b ; --o sinal y recebe o resultado da multiplicacao a x b  
 
  y <= a * b ; --o sinal y recebe o resultado da multiplicacao a x b  
 
*Representação de caracteres, strings e números em VHDL.  No circuito, os caracteres são representados através de bits de acordo com a [https://www.lookuptables.com/text/ascii-table tabela ASCII] básica (00 a 7F). A definição dessa tabela é feita o pacote '''[[standard.vhd]]''' da biblioteca '''std'''.
 
*Representação de caracteres, strings e números em VHDL.  No circuito, os caracteres são representados através de bits de acordo com a [https://www.lookuptables.com/text/ascii-table tabela ASCII] básica (00 a 7F). A definição dessa tabela é feita o pacote '''[[standard.vhd]]''' da biblioteca '''std'''.
Linha 452: Linha 543:
 
:*Números decimais:
 
:*Números decimais:
 
  1023 -> 1023 ou 1_023
 
  1023 -> 1023 ou 1_023
  1000 -> 1000 ou 1_000 ou 1E3
+
  1000 -> 1000 ou 1_000 ou 1E3 ou 10#1000#
 
::Cuidado ao usar o "_" pois algumas ferramentas não o reconhecem.
 
::Cuidado ao usar o "_" pois algumas ferramentas não o reconhecem.
  
Linha 564: Linha 655:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
 +
 +
;Encontro 14  (5 set.)
 
A biblioteca [[Std logic 1164.vhd]] define os tipos STD_(U)LOGIG, STD_(U)LOGIG_VECTOR.
 
A biblioteca [[Std logic 1164.vhd]] define os tipos STD_(U)LOGIG, STD_(U)LOGIG_VECTOR.
  
Linha 611: Linha 704:
  
 
</syntaxhighlight>
 
</syntaxhighlight>
 
 
A biblioteca [[Numeric std.vhd]] define os tipos UNSIGNED e SIGNED.
 
A biblioteca [[Numeric std.vhd]] define os tipos UNSIGNED e SIGNED.
  
Linha 620: Linha 712:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
A biblioteca  [[Numeric std.vhd]] ainda define os operadores (abs, "+", "-", "*", "\", rem, mod, sll, slr, ror, rol), comparações ("=", '/=', ">", ">=", "<", "<=") e operadores lógicos (not, and, nand, or, nor, xor, xnor)  para os tipos SIGNED e UNSIGNED.  Além disso também define algumas funções muito utilizadas como:
+
A biblioteca  [[Numeric std.vhd]] ainda define os operadores (abs, "+", "-", "*", "/", rem, mod, sll, slr, ror, rol), comparações ("=", '/=', ">", ">=", "<", "<=") e operadores lógicos (not, and, nand, or, nor, xor, xnor)  para os tipos SIGNED e UNSIGNED.  Além disso também define algumas funções muito utilizadas como:
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
Linha 653: Linha 745:
 
| BIT || standard || std ||  valores '0', '1' || sintetizável  
 
| BIT || standard || std ||  valores '0', '1' || sintetizável  
 
|-
 
|-
| INTEGER || standard || std || números inteiros de 32 bits [de -2^31 até + (2^31 - 1)] || sintetizável  
+
| INTEGER || standard || std || números inteiros de 32 bits [de -2^31-1 até + (2^31 - 1)] || sintetizável  
 
|-
 
|-
 
| NATURAL || standard || std || números inteiros não negativos [de 0 até + (2^31 - 1)] || sintetizável  
 
| NATURAL || standard || std || números inteiros não negativos [de 0 até + (2^31 - 1)] || sintetizável  
Linha 663: Linha 755:
 
| BIT_VECTOR || standard || std ||  vetor de BIT || sintetizável
 
| BIT_VECTOR || standard || std ||  vetor de BIT || sintetizável
 
|-
 
|-
| INTEGER_VECTOR || standard || std || vetor de INTEGER || sintetizável  
+
| INTEGER_VECTOR || standard (2008) || std || vetor de INTEGER || sintetizável  
 
|-
 
|-
 
| REAL || standard || std || números reais [de -1.0E-38 até + 1.0E38] || simulação
 
| REAL || standard || std || números reais [de -1.0E-38 até + 1.0E38] || simulação
Linha 695: Linha 787:
  
 
* Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
 
* Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
 
*Função resize
 
 
;Aula 12 (16 nov):
 
 
 
* '''Desafio 1''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga.
 
* '''Desafio 1''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga.
  
Linha 710: Linha 797:
 
{{fig|3.2| Simulação do contador de vagas | cntvagas9.png | 800 px |}}
 
{{fig|3.2| Simulação do contador de vagas | cntvagas9.png | 800 px |}}
  
 +
;Encontro 15  (6 set.)
  
 
* Exemplo 3.1 Buffer Tri-state
 
* Exemplo 3.1 Buffer Tri-state
Linha 738: Linha 826:
 
::* Analise se seria possível modificar as portas para o tipo '''bit'''.
 
::* Analise se seria possível modificar as portas para o tipo '''bit'''.
 
:: '''Importante''': O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O.   
 
:: '''Importante''': O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O.   
 +
;Curiosidade:  Existem circuitos comerciais que implementam essa função three-state [https://www.ti.com/lit/ds/symlink/sn74lvc16244a-q1.pdf?ts=1681232207751&ref_url=https%253A%252F%252Fwww.ti.com%252Fproduct%252FSN74LVC16244A-Q1 16 buffers], [https://www.ti.com/lit/ds/symlink/sn74lv244a-ep.pdf?ts=1681237777688&ref_url=https%253A%252F%252Fwww.ti.com%252Fproduct%252FSN74LV244A-EP 8 buffers], [https://www.ti.com/lit/ds/symlink/sn74auc1g126.pdf?ts=1681222704977&ref_url=https%253A%252F%252Fwww.google.com%252F 1 buffer].  Porque não utilizar um CPLD ou FPGA em seu lugar?
  
 +
;Encontro 16  (12 set.)
 
:* Exemplo 3.2 Circuito com Saida "don't care"
 
:* Exemplo 3.2 Circuito com Saida "don't care"
  
Linha 763: Linha 853:
  
 
* '''Desafio 3''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga. Inspirado na descrição VHDL acima, tente resolver esse problema usando ''don't care''.
 
* '''Desafio 3''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga. Inspirado na descrição VHDL acima, tente resolver esse problema usando ''don't care''.
 
  
 
:'''Importante''':  O ''don't care'' não funciona como se espera para uma entrada, por isso, use ''don't care'' apenas para saídas.   
 
:'''Importante''':  O ''don't care'' não funciona como se espera para uma entrada, por isso, use ''don't care'' apenas para saídas.   
Linha 770: Linha 859:
 
  std_match(x, "1----") -- funciona em VHDL
 
  std_match(x, "1----") -- funciona em VHDL
  
;Aula 12 (17 nov):
 
 
:* Tipos de dados: SIGNED e UNSIGNED
 
:* Tipos de dados: SIGNED e UNSIGNED
  
Linha 825: Linha 913:
  
 
{{collapse bottom}}
 
{{collapse bottom}}
 +
 
<center>
 
<center>
 
{{Mensagem
 
{{Mensagem
Linha 835: Linha 924:
 
|background colour=#F5FFFA}}
 
|background colour=#F5FFFA}}
 
</center>
 
</center>
 +
  
 
:: Ver pag. 39 a 54 de <ref name="PEDRONI2010b"/>
 
:: Ver pag. 39 a 54 de <ref name="PEDRONI2010b"/>
;Aula 13 (18 nov) - Aula prática de laboratório (individual):
 
Nesta aula, cada aluno deverá seguir o seguinte roteiro:
 
;Circuito 1: Utilizando VHDL, descreva um circuito que detecte se existe alguma vaga vazia em um lote de 4 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga.
 
  
:*Anote o número de elementos lógicos que foi utilizado para implementar o circuito.
+
;Encontro 17 (15 set.)
:*Anote o número de pinos utilizados
+
*Operadores em VHDL.
:*Anote a família e código do dispositivo utilizado
 
:*Observe o diagrama RTL do circuito
 
:*Observe o diagrama do Technology Map do circuito
 
:*Faça a simulação do circuito para ver se está funcionando, e capture a tela que indica que o circuito funciona.  A simulação devem mostrar pelo menos que havendo uma ou mais vagas a saída '''y''' está em '1', e caso não haja nenhuma vaga a saída deve estar em '0'.
 
 
 
 
 
;Circuito 2: Utilizando VHDL, descreva um circuito que conte o número de vagas vazias em um lote de 4 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''CNT''' deverá mostrar em binário sem sinal o número de vagas vazias (O valor de '''CNT''' poderá ser entre 0 e 4).
 
:*Anote o número de elementos lógicos que foi utilizado para implementar o circuito.
 
:*Anote o número de pinos utilizados
 
:*Anote a família e código do dispositivo utilizado
 
:*Observe o diagrama RTL do circuito
 
:*Observe o diagrama do Technology Map do circuito
 
:*Faça a simulação do circuito para ver se está funcionando, e capture a tela que indica que o circuito funciona.  A simulação deve mostrar pelo menos uma situação na qual existe 0, 1, 2, 3 e 4 vagas.  
 
 
 
;Circuito 3: Inspirado no "Exemplo 3.2 Circuito com saída ''don't care''" tente resolver esse problema usando ''don't care'', descreva um circuito que detecte se existe alguma vaga vazia em um lote de 4 vagas. A entrada '''x(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga.
 
:*Anote o número de elementos lógicos que foi utilizado para implementar o circuito.
 
:*Anote o número de pinos utilizados
 
:*Anote a família e código do dispositivo utilizado
 
:*Observe o diagrama RTL do circuito
 
:*Observe o diagrama do Technology Map do circuito
 
:*Faça a simulação do circuito para ver se está funcionando, e capture a tela que indica que o circuito funciona.  A simulação devem mostrar pelo menos que havendo uma ou mais vagas a saída '''y''' está em '1', e caso não haja nenhuma vaga a saída deve estar em '0'.  
 
 
 
;Circuito 4: Verifique se você consegue imaginar uma descrição alternativa para o problema já tratado no Circuito 1 e 3.
 
:*Anote o número de elementos lógicos que foi utilizado para implementar o circuito.
 
:*Anote o número de pinos utilizados
 
:*Anote a família e código do dispositivo utilizado
 
:*Observe o diagrama RTL do circuito
 
:*Observe o diagrama do Technology Map do circuito
 
:*Faça a simulação do circuito para ver se está funcionando, e capture a tela que indica que o circuito funciona.  A simulação devem mostrar pelo menos que havendo uma ou mais vagas a saída '''y''' está em '1', e caso não haja nenhuma vaga a saída deve estar em '0'.
 
 
 
;Relatório Técnico:  O relatório técnico deverá documentar o projeto e testes realizados, devendo ser entregue em pdf na atividade AE5 pelo moodle.  Na AE5 também deve ser envidado  o arquivo qar que contenha os 4 circuitos. Recomendo que utilize como nome dos arquivos VHDL algo como ''circuitoX.vhd''  e para os arquivos de simulação ''tb_circuitoX.vwf''. Todos os arquivos devem preferencialmente ficar em um único projeto e QAR.
 
 
 
*Documentar o experimento em um relatório técnico que contenha no mínimo:
 
:*identificação (título, disciplina, data, autores);
 
:*introdução;
 
:*descrição do procedimento realizado para simular os circuitos;
 
:*resultados obtidos (com imagens dos itens importantes) e análise dos resultados, comparando as 4 soluções implementadas;
 
:*conclusão;
 
:*apêndice (coloque os códigos dos 4 circuitos implementados).
 
 
 
 
 
;Aula 14 (23 nov):
 
*Operadores em VHDL.
 
 
:* Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
 
:* Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
;Operadores lógicos:
 
São suportados nos tipos de dados: BIT, BIT_VECTOR, BOOLEAN, STD_(U)LOGIC, STD_(U)LOGIC_VECTOR, SIGNED e UNSIGNED. Com VHDL 2008 também suportado para BOOLEAN_VECTOR, UFIXED, SFIXED e FLOAT.
 
 
NOT
 
AND
 
NAND
 
OR
 
NOR
 
XOR
 
XNOR                 
 
 
Apenas o operador NOT tem precedência sobre os demais
 
y <=  a AND b XOR c  -- é equivalente a (a AND b) XOR c
 
y <=  NOT a AND b    -- é equivalente a (NOT a) AND b.
 
y <=  a NAND b      -- é equivalente a NOT (a AND b)
 
 
 
  
 
;Operadores aritméticos:
 
;Operadores aritméticos:
Linha 929: Linha 956:
  
 
O operador '''x MOD y''' retorna o resto de '''x/y''' com sinal de '''y'''.  Esse operador realiza a operação '''x MOD y = x REM y + a*y''', onde '''a = 1''' quando o sinal de x é diferente do sinal de y, e '''a = 0''' se os sinais de x e y são iguais.   
 
O operador '''x MOD y''' retorna o resto de '''x/y''' com sinal de '''y'''.  Esse operador realiza a operação '''x MOD y = x REM y + a*y''', onde '''a = 1''' quando o sinal de x é diferente do sinal de y, e '''a = 0''' se os sinais de x e y são iguais.   
:Exemplos: 9 MOD 10 = 9 REM 10 = 9; -7 MOD 3 = 2; 9 REM -4 = -3; 20 REM (-4) = 0.
+
:Exemplos: 9 MOD 10 = 9 ; -7 MOD 3 = 2; 9 MOD -4 = -3; 20 REM (-4) = 0.
  
  
Linha 946: Linha 973:
 
(
 
(
  
C     : in std_logic_vector (6 downto 0);
+
A     : in std_logic_vector (6 downto 0);
 
sd, su : out std_logic_vector (3 downto 0)
 
sd, su : out std_logic_vector (3 downto 0)
 
);
 
);
 
end entity;
 
end entity;
<!--
+
 
 
architecture ifsc_v1 of bin2bcd is
 
architecture ifsc_v1 of bin2bcd is
signal C_uns         : unsigned (6 downto 0);
+
signal A_uns         : unsigned (6 downto 0);
 
signal sd_uns, su_uns : unsigned (6 downto 0);
 
signal sd_uns, su_uns : unsigned (6 downto 0);
  
Linha 958: Linha 985:
 
sd    <= std_logic_vector(resize(sd_uns, 4));
 
sd    <= std_logic_vector(resize(sd_uns, 4));
 
su    <= std_logic_vector(resize(su_uns, 4));
 
su    <= std_logic_vector(resize(su_uns, 4));
sd_uns <= C_uns/10;
+
sd_uns <= A_uns/10;
su_uns <= C_uns rem 10;
+
su_uns <= A_uns rem 10;
c_uns <= unsigned(c);
+
A_uns <= unsigned(A);
 
end architecture;
 
end architecture;
  
Linha 981: Linha 1 008:
 
{{fig|3.3| Simulação do conversor de Binário para BCD com 2 digitos | bin2bcdDU_modelsim.png | 1000 px |}}
 
{{fig|3.3| Simulação do conversor de Binário para BCD com 2 digitos | bin2bcdDU_modelsim.png | 1000 px |}}
  
;Aula 15 (25 nov):
 
*Algumas dicas para otimizar o tempo de propagação ou área ocupada (número de elementos lógicos)
 
:* [[Uso de restrições de tempo e exceções no projeto]]
 
:* [[Medição de tempos de propagação em circuitos combinacionais]]
 
:* Usando o compilador do Quartus para otimizações.
 
*Laboratório Remoto:
 
:*implementar as soluções do circuito bin2bcd e analisar o tempo de propagação e área ocupada.
 
  
;Aula16 (30 nov):
+
;Encontro 18  (19 set.)
* Operadores de deslocamento (SHIFT)
+
;Operadores lógicos:  
:*SLL (''Shift Left Logic'') - Deslocamento a esquerda lógico (preenchimento com '0's das posições a direita.
+
São suportados nos tipos de dados: BIT, BIT_VECTOR, BOOLEAN, STD_(U)LOGIC, STD_(U)LOGIC_VECTOR, SIGNED e UNSIGNED. Com VHDL 2008 também suportado para BOOLEAN_VECTOR, UFIXED, SFIXED e FLOAT.
:*SRL (''Shift Right Logic'') - Deslocamento a direita lógico (preenchimento com '0's das posições a esquerda.
+
 
 +
NOT
 +
AND
 +
NAND
 +
OR
 +
NOR
 +
XOR
 +
XNOR                 
 +
 
 +
Apenas o operador NOT tem precedência sobre os demais
 +
y <=  a AND b XOR c  -- é equivalente a (a AND b) XOR c
 +
y <=  NOT a AND b    -- é equivalente a (NOT a) AND b.
 +
y <=  a NAND b      -- é equivalente a NOT (a AND b)
 +
 
 +
* Operadores de deslocamento (SHIFT)
 +
:*SLL (''Shift Left Logic'') - Deslocamento a esquerda lógico (preenchimento com '0's das posições a direita.
 +
:*SRL (''Shift Right Logic'') - Deslocamento a direita lógico (preenchimento com '0's das posições a esquerda.
 
:*SLA (''Shift Left Arithmetic'') - Deslocamento a esquerda aritmético (posições liberadas da direita mantém o bit lsb)
 
:*SLA (''Shift Left Arithmetic'') - Deslocamento a esquerda aritmético (posições liberadas da direita mantém o bit lsb)
:*SRA (''Shift Right Arithmetic'') - Deslocamento a esquerda aritmético (posições liberadas da esquerda mantém o bit msb)
+
:*SRA (''Shift Right Arithmetic'') - Deslocamento a direita aritmético (posições liberadas da esquerda mantém o bit msb)
 
:*ROL (''Rotate Left'') - Deslocamento circular a esquerda ( o bit que sai na esquerda é retornado na direita)
 
:*ROL (''Rotate Left'') - Deslocamento circular a esquerda ( o bit que sai na esquerda é retornado na direita)
 
:*ROR (''Rotate Right'') - Deslocamento circular a direita ( o bit que sai na direita é retornado na esquerda)
 
:*ROR (''Rotate Right'') - Deslocamento circular a direita ( o bit que sai na direita é retornado na esquerda)
Linha 1 001: Linha 1 037:
 
  y <= a SLL 2; -- y <= "100101'''00'''" (y <= a(5 downto 0) & "00";)
 
  y <= a SLL 2; -- y <= "100101'''00'''" (y <= a(5 downto 0) & "00";)
 
  y <= a SLA 2; -- y <= "100101'''11'''" (y <= a(5 downto 0) & a(0) & a(0);)
 
  y <= a SLA 2; -- y <= "100101'''11'''" (y <= a(5 downto 0) & a(0) & a(0);)
 +
y <= a ROL 2; -- y <= "100101'''01'''" (y <= a(5 downto 0) & a(7 downto 6);)
 
  y <= a ROR 2; -- y <= "'''01'''011001" (y <= a(1 downto 0) & a(7 downto 2);)
 
  y <= a ROR 2; -- y <= "'''01'''011001" (y <= a(1 downto 0) & a(7 downto 2);)
  
Linha 1 008: Linha 1 045:
 
::Esse operador é suportado nos tipos BIT_VECTOR, STD_(U)LOGIG_VECTOR, STRING e (UN)SIGNED. Em VHDL 2008 também para INTEGER_VECTOR e BOOLEAN_VECTOR.
 
::Esse operador é suportado nos tipos BIT_VECTOR, STD_(U)LOGIG_VECTOR, STRING e (UN)SIGNED. Em VHDL 2008 também para INTEGER_VECTOR e BOOLEAN_VECTOR.
 
::É utilizado para agrupar objetos como mostrado nos comentários dos exemplos anteriores
 
::É utilizado para agrupar objetos como mostrado nos comentários dos exemplos anteriores
 
  
 
*Operadores de comparação
 
*Operadores de comparação
Linha 1 028: Linha 1 064:
 
  Maior ou igual que (?>=)
 
  Maior ou igual que (?>=)
  
Atributos em VHDL.
+
;Atributos em VHDL:
 
* Atributos de síntese:  
 
* Atributos de síntese:  
 
Em VHDL existem diversos atributos de sintese que controlam a forma como o processo de "Analysis & Synthesis" é realizado pelo Quartus II. Uma listagem completa pode ser encontrada em:
 
Em VHDL existem diversos atributos de sintese que controlam a forma como o processo de "Analysis & Synthesis" é realizado pelo Quartus II. Uma listagem completa pode ser encontrada em:
Linha 1 061: Linha 1 097:
 
</i>
 
</i>
  
;Aula 17 (1 dez):
+
;Encontro 20  (20 set.)
Atributos em VHDL.
 
* Atributos de síntese:
 
 
:* '''ATTRIBUTE keep''' [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_keep.htm]
 
:* '''ATTRIBUTE keep''' [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_keep.htm]
 
O atributo de síntese KEEP, sinaliza ao processo de "Analysis & Synthesis" para manter intacto um determinado signal ou componente.  
 
O atributo de síntese KEEP, sinaliza ao processo de "Analysis & Synthesis" para manter intacto um determinado signal ou componente.  
Linha 1 171: Linha 1 205:
 
  E'SIMPLE_NAME - The simple name, character literal, or operator symbol of the named entity
 
  E'SIMPLE_NAME - The simple name, character literal, or operator symbol of the named entity
  
 +
;Encontro 21  (22 set.)
 
* Atributos definidos pelo usuário;
 
* Atributos definidos pelo usuário;
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
Linha 1 177: Linha 1 212:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
;Aula 18 (2 dez) - Laboratório remoto:
+
* Tipos definidos pelo usuário:  
* AE7 - Estudo dos atributos de objetos e de síntese
+
:* Escalares (Inteiros e Enumerados)
 
+
:* Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D.  Ver : [[Array em VHDL]]
 
 
;Aula19 (7 dez):
 
:* Tipos definidos pelo usuário:  
 
::* Escalares (Inteiros e Enumerados)
 
::* Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D.  Ver : [[Array em VHDL]]
 
  
  
Linha 1 235: Linha 1 265:
 
   ('0', '1', '1', '1')); -- 7
 
   ('0', '1', '1', '1')); -- 7
 
begin
 
begin
  --slice1 <= table(row)(column);
+
-- slice1 <= table(row)(column);
  --slice2 <= table(row)(1 to 2);
+
-- slice2 <= table(row)(1 to 2);
  --slice3 <= table(row)(1 to 4);
+
-- slice3 <= table(row));
  --slice4 <= table(1 TO 3)(column);
+
-- slice4 <= table(1 TO 3)(column);
  --slice4 <= table(1)(column) & table(2)(column) & table(3)(column);
+
-- slice4 <= table(1)(column) & table(2)(column) & table(3)(column);
  
  --gen : for i in 1 to 3 generate
+
-- gen : for i in 1 to 3 generate
  -- slice4(i) <= table(i)(column);
+
--   slice4(i) <= table(i)(column);
  --end generate;
+
-- end generate;
 
end architecture;
 
end architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
Linha 1 253: Linha 1 283:
 
{{collapse bottom}}
 
{{collapse bottom}}
  
 +
 +
;Encontro 22  (29 set.)
 
{{collapse top| bg=lightyellow |  Exemplo 3.7: Array de bits 2D }}
 
{{collapse top| bg=lightyellow |  Exemplo 3.7: Array de bits 2D }}
 
O código abaixo cria um '''array''' de bits e utiliza as entradas "row" e "column" para fazer a leitura dos dados em uma tabela declarada como '''constant'''.  
 
O código abaixo cria um '''array''' de bits e utiliza as entradas "row" e "column" para fazer a leitura dos dados em uma tabela declarada como '''constant'''.  
Linha 1 270: Linha 1 302:
 
architecture teste of array_2D_bits is
 
architecture teste of array_2D_bits is
 
type a2D_bits is array (1 to 3, 1 to 4) of bit;
 
type a2D_bits is array (1 to 3, 1 to 4) of bit;
constant table : a2D_bits := (('0', '0', '0', '1'),  
+
constant table : a2D_bits := (('1', '1', '1', '1'),  
('1', '0', '0', '1'), ('1', '1', '0', '1')
+
('0', '1', '0', '1'), ('0', '1', '1', '1')
 
);
 
);
 
begin
 
begin
 
--slice1 <= table(row, column);
 
--slice1 <= table(row, column);
 
--slice2 <= table(row, 1 TO 2);
 
--slice2 <= table(row, 1 TO 2);
--slice3 <= table(row, 1 TO 4);
+
--slice3 <= table(row);
 
--slice4 <= table(1 TO 3, column);
 
--slice4 <= table(1 TO 3, column);
--slice4 <= table(1, column) & table(2, column) & tabl
+
--slice4 <= table(1, column) & table(2, column) & table(3, column)
 
--gen : for i in 1 to 3 generate
 
--gen : for i in 1 to 3 generate
 
-- slice4(i) <= table(i, column);
 
-- slice4(i) <= table(i, column);
Linha 1 288: Linha 1 320:
 
:2) Quantos bits são necessários para representar esse ARRAY?
 
:2) Quantos bits são necessários para representar esse ARRAY?
 
:3) Descomente uma a uma as linhas na architecture.  Verifique quais são aceitas pelo compilador? As 3 últimas formam um bloco único
 
:3) Descomente uma a uma as linhas na architecture.  Verifique quais são aceitas pelo compilador? As 3 últimas formam um bloco único
 +
 +
No exemplo acima, note que os limites da instrução '''for generate''' podem ser definidos usando os atributos do array.  Assim a linha poderia ser descrita também usando os atributos do objeto ou do tipo:
 +
gen : for i in 1 to 3 generate
 +
Usando os atributos '''left''' e '''right''' da primeira dimensão do array (1 to 3) do objeto ('''table''') ou tipo ('''a2D_bits''')
 +
gen : for i in table'left(1) to table'right(1) generate
 +
gen : for i in a2D_bits'left(1) to a2D_bits'right(1) generate
 +
Usando os atributos '''low''' e '''high''' 
 +
gen : for i in table'low(1) to table'high(1) generate
 +
gen : for i in a2D_bits'low(1) to a2D_bits'high(1) generate
 +
Usando os atributos '''range''' ou  '''reverse_range''' 
 +
gen : for i in table'reverse_range(1) generate
 +
gen : for i in table'range(1) generate
 +
gen : for i in a2D_bits'reverse_range(1) generate
 +
gen : for i in a2D_bits'range(1) generate
 +
Usando o atributo '''length''' 
 +
gen : for i in 1 to table'length(1) generate
 +
gen : for i in 1 to a2D_bits'length(1) generate
  
 
{{collapse bottom}}
 
{{collapse bottom}}
Linha 1 317: Linha 1 366:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
;Aula 19 (9 dez) - Laboratório remoto:
+
Como usar ARRAYs em portas?:
 
 
;Como usar ARRAYs em portas?:
 
 
* Declaração do TYPE em PACKAGE
 
* Declaração do TYPE em PACKAGE
  
Linha 1 468: Linha 1 515:
  
 
;Sobrecarga de operadores:
 
;Sobrecarga de operadores:
 +
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
Linha 1 488: Linha 1 536:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
:: Ver pag. 91 a 108 de <ref name="PEDRONI2010b"/>
+
;Desafio 4: Completar o contador de vagas (interrompido em aula anterior) usando a sobrecarga do operador "+".
* AE8 - Estudo dos arrays
 
  
;Aula 20 e 21 (14 e 15 dez):
+
;Desafio 5: Completar o contador de vagos usando um numero inteiro (1 para vaga, 0 para ocupado) nas entradas no lugar dos bits.
  
 +
<!--
 +
;Encontro 25  (25 abr.)
 +
;Encontro 26  (26 abr.)
 
* A implementação de circuitos aritméticos com operadores deve seguir as seguintes recomendações:
 
* A implementação de circuitos aritméticos com operadores deve seguir as seguintes recomendações:
 
:* Para o uso dos operadores o mais adequado é utilizar o padrão industrial '''STD_LOGIC_VECTOR'''.  Internamente os valores das portas devem ser convertidos ou para valores '''INTEGER''' ou para '''UNSIGNED'''/'''SIGNED'''.  para tal é necessário utilizar o pacote '''numeric_std''' da biblioteca '''ieee'''.
 
:* Para o uso dos operadores o mais adequado é utilizar o padrão industrial '''STD_LOGIC_VECTOR'''.  Internamente os valores das portas devem ser convertidos ou para valores '''INTEGER''' ou para '''UNSIGNED'''/'''SIGNED'''.  para tal é necessário utilizar o pacote '''numeric_std''' da biblioteca '''ieee'''.
Linha 1 605: Linha 1 655:
  
 
{{collapse bottom}}
 
{{collapse bottom}}
;Aula 22  (16 dez) - Laboratório presencial
 
*[[DLP29006-Engtelecom(2021.2) - Prof. Marcos Moecke#AE9 - Calculadora básica implementada no kit DE2-115 | AE9 - Calculadora básica implementada no kit DE2-115]]
 
  
;Aula 23 e 24 (21 e 23 dez)
+
*Dicas:
*Realize as simulações funcional do circuito usando o Modelsim.
+
:*Uma outra forma de utilizar o Modelsim [[Media:ModelsimGraphicalWaveformEditor.pdf | INTRODUCTION TO SIMULATION OF VHDL DESIGNS USING MODELSIM GRAPHICAL WAVEFORM EDITOR]]
 +
:*[[Uso do WaveDrom para gerar diagramas de tempo]]
  
*'''PASSO 1a''':  Abra o Modelsim
 
/opt/altera/13.0sp1/modelsim_ae/bin/vsim
 
  
*'''PASSO 1b''': Resete o Layout do Modelsim (caso tenha feito alguma modificação e não saiba como retornar ao original)  (Layout > Reset).
+
*[https://ieeexplore-ieee-org.ez130.periodicos.capes.gov.br/stamp/stamp.jsp?tp=&arnumber=8938196 IEEE Std 1076‐2019 IEEE Standard for VHDL Language: Reference Manual] - acesse via Periódicos Capes /Cafe
 +
-->
 +
{{collapse bottom}}
  
*'''PASSO 1c''': Mude para a pasta onde está o projeto, usando a barra de menu (File > Change Directory... [Escolha a pasta]. Ou via linha de comando na janela de transcript.
+
===Unidade 4 - Código Concorrente===
cd /home/nome_usuario/nome_pasta/...
 
  
*'''PASSO 1d''': Confira se está na pasta correta
+
* 4 ENCONTROS
pwd
+
{{collapse top| expand=true | Unidade 4 - Código Concorrente}}
ls
 
 
 
*PASSO 1e: Digite o código abaixo e salve no arquivo '''n_flip_flop.vhd''' na pasta '''AE10'''
 
  
 +
;Encontro 24 (3 out.)
 +
*Código Concorrente.
 +
:* Uso de Operadores
 +
:* instrução '''WHEN-ELSE (WHEN)'''
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
------------------------------------------------------
+
<optional_label>: <target> <=
-- FILE : n_flip_flop.vhd
+
<value> when <condition> else
-- AUTOR: Marcos Moecke
+
<value> when <condition> else
-- DATA : 22 de dezembro de 2021
+
<value> when <condition> else
------------------------------------------------------
+
...
 
+
<value>;
LIBRARY ieee;
 
USE ieee.std_logic_1164.ALL;
 
ENTITY n_flip_flop IS
 
  GENERIC (N : NATURAL := 4);
 
  PORT
 
  (
 
    clk : IN std_logic;
 
    rst : IN std_logic;
 
    d  : IN std_logic_vector(N - 1 DOWNTO 0);
 
    q  : OUT std_logic_vector(N - 1 DOWNTO 0)
 
  );
 
END;
 
ARCHITECTURE ifsc_v1 OF n_flip_flop IS
 
BEGIN
 
  PROCESS (clk, rst)
 
  BEGIN
 
    IF (rst = '1') THEN
 
      q <= (OTHERS => '0');
 
    ELSIF (clk'EVENT AND clk = '1') THEN
 
      q <= d;
 
    END IF;
 
  END PROCESS;
 
END;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
::*Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
 +
Warning (13012): Latch ... has unsafe behavior
  
*PASSO 1f: Compile o arquivo vhd do projeto. (Compile > Compile... selecione [n_Flip_Flop.vhd] e clique em [Compile]). Responda a [Create Library?] com [Yes]. Em seguida clique em [Done]. Ou
+
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]'''
vlib work
+
  vcom -work work n_flip_flop.vhd
+
:* instrução '''WITH-SELECT-WHEN (SELECT)'''
 +
<syntaxhighlight lang=vhdl>
 +
<optional_label>: with <expression> select
 +
<target> <=
 +
<value> when <choices>,
 +
<value> when <choices>,
 +
<value> when <choices>,
 +
...
 +
<value> when others;
 +
</syntaxhighlight>
 +
::*Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES, ou erros de análise.
 +
  Error (10313): VHDL Case Statement error ...: Case Statement choices must cover all possible values of expression
  
*'''PASSO 1g''': Inicie a simulação (Simulation > Start Simulation... na aba [Design] selecione a Entity [n_Flip_Flop] no Package work e clique em [OK]. Ou
+
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]'''. '''Mas ATENÇÃO, faltam as virgulas após cada escolha'''.
vsim work.n_flip_flop
 
  
*'''PASSO 1h''': Insira os sinais de entrada e de saída na janela Wave.
+
{{collapse top| bg=lightyellow |  Exemplo 5.1 + 5.2}}
 +
Exemplo de mux usando 3 tipos de arquiteturas: com portas discretas, com WHEN-ELSE e com WITH-SELECT
  
Clique sobre os sinais na janela Objects e arraste-o até a janela Wave.
+
<syntaxhighlight lang=vhdl>
 +
---------------------------
 +
-- FILE mux4x1.vhd --
 +
---------------------------
 +
library ieee;
 +
use ieee.std_logic_1164.all;
  
*'''PASSO 2''': Define o valor dos sinais de entrada usando os comandos '''force''' e '''clock'''.
+
entity mux4x1 is
 +
port
 +
(
 +
x0, x1, x2, x3 : in STD_LOGIC;
 +
sel            : in STD_LOGIC_VECTOR(1 downto 0);
 +
y              : out STD_LOGIC
 +
);
 +
end entity;
  
*'''PASSO 3''': Realize a simulação de 1000 ps
+
architecture operators_only of mux4x1 is
 +
begin
 +
y <= (not sel(1) and not sel(0) and x0) or
 +
(not sel(1) and sel(0) and x1) or
 +
(sel(1) and not sel(0) and x2) or
 +
(sel(1) and sel(0) and x3);
 +
end architecture;
  
:Opção 1:  Clique 10 vezes sobre o icone [Run] ou [F9]
+
architecture operators_only_alias of mux4x1 is
:Opção 2:  Digite 10 vezes o comando run na janela Transcript (cada run dura o tempo indicado ao lado esquerdo do icone [Run]
+
alias s1 is sel(1);
:Opção 3: Digite o comando run 1000 ps
+
alias s0 is sel(0);
 +
begin
 +
y <= (not s1 and not s0 and x0) or
 +
(not s1 and s0 and x1) or
 +
(s1 and not s0 and x2) or
 +
(s1 and s0 and x3);
 +
end architecture;
  
*'''PASSO 4''': Análise da simulação
+
architecture WHEN_ELSE of mux4x1 is
 +
begin
 +
y <= x0 when sel = "00" else
 +
        x1 when sel = "01" else
 +
    x2 when sel = "10" else
 +
  x3;
 +
end architecture;
  
Selecione com o shift_clique_esquerdo do mouse os sinas d e q (barramentos de 4 bits) e em seguida clique_direito e selecione [radix > unsigned].
+
architecture WITH_SELECT of mux4x1 is
 
+
begin
*'''PASSO 5''':  Criação de um ''testebench'' com arquivo .do
+
with sel select
Use os comandos da janela de transcript para criar um arquivo tb_FF.do que permite repetir de forma automática o teste realizado.
+
y <= x0 when "00",
 
+
    x1 when "01",
<syntaxhighlight lang=tcl>
+
    x2 when "10",
################################
+
  x3 when others;
# FILE : tb_FF.do
+
end architecture;
# AUTOR: Marcos Moecke
 
# DATA : 14 de agosto de 2019
 
################################
 
  
 +
configuration which_mux of mux4x1 is
 +
-- for operators_only end for;
 +
-- for operators_only_alias end for;
 +
for WHEN_ELSE end for;
 +
-- for WITH_SELECT end for;
 +
end configuration;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
*Para conhecer melhor o MODELSIM GRAPHICAL WAVEFORM EDITOR, consulte o [[Media:ModelsimGraphicalWaveformEditor.pdf | INTRODUCTION TO SIMULATION OF VHDL DESIGNS USING MODELSIM GRAPHICAL WAVEFORM EDITOR]]
+
*Verifique os três circuitos (RTL e Technology Map) considerando as entradas x0 a x3 e a saída y com apenas um elemento.
   
+
*Mude a entrada '''x''' para STD_LOGIC_VECTOR(3 downto 0). Como poderia ser feito o código para que pudesse ser selecionada uma entrada entre N = 2^M.
 
+
*Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
 +
*No caso do uso de WHEN_ELSE e WITH_SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
 +
*Qual é a solução para a descrição com portas? Veja se consegue implementar uma solução.
 
{{collapse bottom}}
 
{{collapse bottom}}
  
===Unidade 4 - Código Concorrente===
+
:* Note que para associar uma entre várias arquiteturas para a mesma ENTITY está sendo utilizada a instrução CONFIGURATION. A ARCHITECTURE que está descomentada é a que será associada a ENTITY.  Caso não se use a instrução CONFIGURATION, a última será a ARCHITECTURE utilizada.  Importante todas as ARCHITECTURE devem estar sintaticamente corretas pois o configuration apenas faz a associação
* 4 AULAS
 
{{collapse top| expand=true | Unidade 4 - Código Concorrente}}
 
  
 +
<syntaxhighlight lang=vhdl>
 +
 +
configuration which_mux of mux4x1 is
 +
-- for operators_only end for;
 +
-- for operators_only_alias end for;
 +
for with_WHEN end for;
 +
-- for with_SELECT end for;
 +
end configuration;
  
;Aula  25  (1 fev):
 
*Código Concorrente.
 
:* Uso de Operadores
 
:* instrução '''WHEN-ELSE (WHEN)'''
 
<syntaxhighlight lang=vhdl>
 
<optional_label>: <target> <=
 
<value> when <condition> else
 
<value> when <condition> else
 
<value> when <condition> else
 
...
 
<value>;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
::*Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
 
Warning (13012): Latch ... has unsafe behavior
 
  
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]'''
+
::Ver pag. 121 a 127 de <ref name="PEDRONI2010b"/>
+
;Encontro 25 (4 out.)
:* instrução '''WITH-SELECT-WHEN (SELECT)'''
+
:* Uso da instrução FOR-GENERATE
<syntaxhighlight lang=vhdl>
+
<syntaxhighlight lang=vhdl>  
<optional_label>: with <expression> select
+
label: FOR identificador IN faixa GENERATE
<target> <=
+
  [Parte_Declarativa
<value> when <choices>,
+
BEGIN]
<value> when <choices>,
+
  Instruções_concorrentes
<value> when <choices>,
+
  ...
...
+
END GENERATE [label];
<value> when others;
+
</syntaxhighlight>  
</syntaxhighlight>
 
::*Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES, ou erros de análise.
 
Error (10313): VHDL Case Statement error ...: Case Statement choices must cover all possible values of expression
 
  
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]'''. '''Mas ATENÇÃO, faltam as virgulas após cada escolha'''.
+
:*Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
 
 
{{collapse top| bg=lightyellow |  Exemplo 5.1 + 5.2}}
 
Exemplo de mux usando 3 tipos de arquiteturas: com portas discretas, com WHEN-ELSE e com WITH-SELECT
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
---------------------------
+
---------------------
-- FILE mux4x1.vhd --
+
-- FILE my_pkg.vhd --
---------------------------
+
---------------------
 
library ieee;
 
library ieee;
 
use ieee.std_logic_1164.all;
 
use ieee.std_logic_1164.all;
  
entity mux4x1 is
+
package my_pkg is
port
+
type a_slv is array(natural range <>) of std_logic_vector (3 downto 0);
(
+
end package;
x0, x1, x2, x3 : in STD_LOGIC;
+
</syntaxhighlight>
sel            : in STD_LOGIC_VECTOR(1 downto 0);
+
 
y              : out STD_LOGIC
+
<syntaxhighlight lang=vhdl>
);
+
---------------------------
 +
-- FILE vector_adder.vhd --
 +
---------------------------
 +
library ieee work;
 +
use ieee.std_logic_1164.all;
 +
use ieee.numeric_std.all;
 +
use work.my_pkg.all;
 +
 
 +
entity vector_adder is
 +
generic (N : natural := 4);
 +
port (
 +
a   : in a_slv (0 to N-1);
 +
soma : out std_logic_vector (3 downto 0));
 
end entity;
 
end entity;
  
architecture operators_only of mux4x1 is
+
-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.
 +
 
 +
architecture ifsc_v1 of vector_adder is
 +
signal soma_sig : unsigned(3 downto 0);
 
begin
 
begin
y <= (not sel(1) and not sel(0) and x0) or
+
soma_sig <= unsigned(a(0)) + unsigned(a(1)) + unsigned(a(2)) +  unsigned(a(3));
(not sel(1) and sel(0) and x1) or
+
soma <= std_logic_vector(soma_sig);
(sel(1) and not sel(0) and x2) or
 
(sel(1) and sel(0) and x3);
 
 
end architecture;
 
end architecture;
  
architecture operators_only_alias of mux4x1 is
+
-- Versão que realiza a soma usando um FOR GENERATE
alias s1 is sel(1);
+
architecture ifsc_v2 of vector_adder is
alias s0 is sel(0);
+
 
begin
 
begin
y <= (not s1 and not s0 and x0) or
 
(not s1 and s0 and x1) or
 
(s1 and not s0 and x2) or
 
(s1 and s0 and x3);
 
end architecture;
 
  
architecture with_WHEN of mux4x1 is
 
begin
 
y <= x0 when sel = "00" else
 
        x1 when sel = "01" else
 
    x2 when sel = "10" else
 
  x3;
 
 
end architecture;
 
end architecture;
  
architecture with_SELECT of mux4x1 is
+
</syntaxhighlight>
begin
 
with sel select
 
y <= x0 when "00",
 
    x1 when "01",
 
    x2 when "10",
 
  x3 when others;
 
end architecture;
 
  
configuration which_mux of mux4x1 is
+
<syntaxhighlight lang=vhdl>
-- for operators_only end for;
+
---------------------------
-- for operators_only_alias end for;
+
-- FILE vector_adder.vhd --
for with_WHEN end for;
+
---------------------------
-- for with_SELECT end for;
+
configuration ifsc_cfg of vector_adder is
 +
-- for ifsc_v1 end for;
 +
for ifsc_v2 end for;
 
end configuration;
 
end configuration;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
*Verifique os três circuitos (RTL e Technology Map) considerando as entradas x0 a x3 e a saída y com apenas um elemento.
+
;Encontro 26 (6 out.)
*Mude a entrada '''x''' para STD_LOGIC_VECTOR(3 downto 0). Como poderia ser feito o código para que pudesse ser selecionada uma entrada entre N = 2^M.
 
*Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
 
*No caso do uso de WHEN-ELSE e WITH-SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
 
*Qual é a solução para a descrição com portas?  Veja se consegue implementar uma solução.
 
{{collapse bottom}}
 
  
:* Note que para associar uma entre várias arquiteturas para a mesma ENTITY está sendo utilizada a instrução CONFIGURATION. A ARCHITECTURE que está descomentada é a que será associada a ENTITYCaso não se use a instrução CONFIGURATION, a última será a ARCHITECTURE utilizada. Importante todas as ARCHITECTURE devem estar sintaticamente corretas pois o configuration apenas faz a associação
+
* Conhecer o [[Código Gray]]
 +
* Construir um incrementador para código Gray (inc4gray)
 +
* Implementação de conversor Binário para Gray  (bin2gray)
 +
<syntaxhighlight lang=vhdl>
 +
-------------------------
 +
-- File: bin2gray.vhd  --
 +
-------------------------
 +
entity bin2gray is
 +
generic (N : natural := 4 )
 +
port
 +
(
 +
g : out std_logic_vector(____)
 +
b : in std_logic_vector(____)
 +
)
 +
end entity
  
<syntaxhighlight lang=vhdl>
+
architecture ifsc_v1 of ____ is
 +
begin
  
configuration which_mux of mux4x1 is
+
end architecture
-- for operators_only end for;
+
architecture ifsc_v2 of ____ is
-- for operators_only_alias end for;
+
begin
for with_WHEN end for;
 
-- for with_SELECT end for;
 
end configuration;
 
  
 +
end architecture
 
</syntaxhighlight>
 
</syntaxhighlight>
  
::Ver pag. 121 a 127 de <ref name="PEDRONI2010b"/>
+
* Implementação de conversor Gray para Binário (gray2bin)
  
:* Uso da instrução FOR-GENERATE
+
<syntaxhighlight lang=vhdl>
<syntaxhighlight lang=vhdl>  
+
-------------------------
label: FOR identificador IN faixa GENERATE
+
-- File: gray2bin.vhd  --
  [Parte_Declarativa
+
-------------------------
BEGIN]
+
entity gray2bin is
  Instruções_concorrentes
+
generic (N : natural := 4 )
  ...
+
port
END GENERATE [label];
+
(
</syntaxhighlight>
+
g  : in std_logic_vector(____)
 +
b  : out std_logic_vector(____)
 +
)
 +
end entity
  
:*Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
+
architecture ifsc_v1 of ____ is
 +
begin
  
<syntaxhighlight lang=vhdl>
+
end architecture
---------------------
+
architecture ifsc_v2 of ____ is
-- FILE my_pkg.vhd --
+
begin
---------------------
 
library ieee;
 
use ieee.std_logic_1164.all;
 
  
package my_pkg is
+
end architecture
type a_slv is array(natural range <>) of std_logic_vector (3 downto 0);
 
end package;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
 +
 +
;Encontro 27 (10 out.)
 +
 +
Outros exemplos a serem estudados:
 +
* Porta AND e NAND generica (uso do FOR GENERATE)
 +
* Detector de paridade
 +
 +
*Unidade de Aritmética UA).
 +
:*Escreva o código VHDL que implemente a ALU mostrada no diagrama abaixo. Use operações do tipo SIGNED. As entradas '''a''' e '''b''' e saída '''y''' ter o número de bits definido através de GENERIC, e ser do tipo STD_LOGIC_VECTOR. Faça simulação funcional para os diferentes OPCODE.
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
---------------------------
+
----------------------
-- FILE vector_adder.vhd --
+
-- File: alu.vhd   --
---------------------------
+
----------------------
library ieee work;
 
use ieee.std_logic_1164.all;
 
use ieee.numeric_std.all;
 
use work.my_pkg.all;
 
  
entity vector_adder is
+
entity ua is
generic (N : natural := 4);
+
generic (N : natural := 4);  
port (
+
port  
a   : in a_slv (0 to N-1);
+
(
soma : out std_logic_vector (3 downto 0));
+
a, b   : in std_logic(? downto 0);
 +
cin    : in std_logic;
 +
opcode : in std_logic(? downto 0);
 +
y      : out std_logic(? downto 0)
 +
);
 
end entity;
 
end entity;
  
-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.
+
architecture alu of alu is
 +
begin
  
architecture ifsc_v1 of vector_adder is
 
signal soma_sig : signed(3 downto 0);
 
begin
 
soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) +  signed(a(3));
 
soma <= std_logic_vector(soma_sig);
 
 
end architecture;
 
end architecture;
 +
</syntaxhighlight>
  
-- Versão que realiza a soma usando um FOR GENERATE
+
{{fig|3.9| Unidade de Lógica e Aritmética | Alu_pedroni.png | 600 px | pag. 127 <ref name="PEDRONI2010b"/>}}
architecture ifsc_v2 of vector_adder is
 
 
begin
 
  
 +
*Ver pag. 127 a 134 de <ref name="PEDRONI2010b"/>
 +
;Encontro 28 (17 out.): Aula de exercícios
 +
* Lista de Exercícios: ver no Moodle [https://moodle.ifsc.edu.br/mod/wiki/view.php?id=56759 Estudos livres sem entrega de documentação (EL)]
  
end architecture;
+
{{collapse bottom}}
 
 
</syntaxhighlight>
 
  
 +
===Unidade 5 - Código Sequencial===
 +
* 7 ENCONTROS
 +
{{collapse top| expand=true| Unidade 5 - Código Sequencial}}
 +
;Encontro 29 (18 out.)
 +
*Código Sequencial.
 +
:*Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
 +
:*Diferenças entre os objetos SIGNAL e VARIABLE
 +
:*Tipos de elementos de memória: Latch x Flip-flop
 +
::* Latch D
 +
::* Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
 +
:*Seção de código sequencial '''PROCESS''': lista de sensibilidade
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
---------------------------
+
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
-- FILE vector_adder.vhd --
+
            [parte_declarativa]
---------------------------
+
        BEGIN
configuration ifsc_cfg of vector_adder is
+
            afirmação_sequencial;
-- for ifsc_v1 end for;
+
            afirmação_sequencial;
for ifsc_v2 end for;
+
            ...
end configuration;
+
        END PROCESS [rótulo];
 
</syntaxhighlight>
 
</syntaxhighlight>
 
+
:*Instrução '''IF'''
;Aula  26  (3 fev):
 
* Conhecer o [[Código Gray]]
 
* Implementação de conversor Binário para Gray.
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-------------------------
+
[rótulo:] IF condição THEN
-- File: bin2gray.vhd  --
+
            afirmação_sequencial;
-------------------------
+
            afirmação_sequencial;
entity bin2gray is
+
            ...
generic (N : natural := 4 )
+
        ELSIF condição THEN
port
+
            afirmação_sequencial;
(
+
            afirmação_sequencial;
g  : out std_logic_vector(____)
+
            ...
b  : in std_logic_vector(____)
+
        ELSE
)
+
            afirmação_sequencial;
end entity
+
            afirmação_sequencial;
 +
            ...
 +
        END IF [rótulo];
  
architecture ifsc_v1 of ____ is
+
</syntaxhighlight>
 +
:*Exemplos:
 +
::* DFFs com Reset Assincrono e Reset Sincrono, com Enable, com Preset  (Variação Ex 6.1).
 +
<syntaxhighlight lang=vhdl>
 +
--Flip Flop tipo D com reset assincrono, sensivel a borda de subida.
 +
process (clock,reset)
 
begin
 
begin
 
+
  if (reset = '1') then
end architecture
+
      q <= '0';
architecture ifsc_v2 of ____ is
+
-- elsif (clock'event and clock = '1') then or
begin
+
  elsif (rising_edge(clock)) then
 
+
      q <= d;
end architecture
+
  end if;
 +
end process;
 
</syntaxhighlight>
 
</syntaxhighlight>
 
+
{{fig|5.1|RTL de Flip-flop D de borda de subida, com reset assíncrono| FF_D_RST.png| 400 px | }}
* Implementação de conversor Gray para Binário.
 
 
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-------------------------
+
--Flip Flop tipo D com preset assincrono e sinal de enable, sensivel a borda de descida.
-- File: gray2bin.vhd  --
+
process (clock, preset)
-------------------------
 
entity gray2bin is
 
generic (N : natural := 4 )
 
port
 
(
 
g  : in std_logic_vector(____)
 
b  : out std_logic_vector(____)
 
)
 
end entity
 
 
 
architecture ifsc_v1 of ____ is
 
 
begin
 
begin
 
+
  if (preset = '1') then
end architecture
+
      q <= '1';
architecture ifsc_v2 of ____ is
+
  elsif (falling_edge(clock)) then
 +
      if (enable = '1') then
 +
        q <= d;
 +
      end if;
 +
  end if;
 +
end process;
 +
</syntaxhighlight>
 +
{{fig|5.2|RTL de Flip-flop D de borda de descida, com preset assíncrono e enable| FF_D_PRST.png| 400 px | }}
 +
::* Comparar com Latch (sem clk'event).
 +
<syntaxhighlight lang=vhdl>
 +
--Latch tipo D com reset assincrono.
 +
process (enable, reset, d)
 
begin
 
begin
 
+
  if (reset = '1') then
end architecture
+
      q <= '0';
 +
  elsif (enable='1')) then
 +
      q <= d;
 +
  end if;
 +
end process;
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
{{fig|5.3|RTL de Latch D de com reset assíncrono e enable ativo alto| LATCH_D_RST.png| 400 px | }}
 +
* Na figura abaixo, note que o Latch é implementado utilizando a LUT do elemento lógico do FPGA, enquanto que o Flip-flop utiliza o componente já disponível neste elemento lógico.
 +
*[[Evite os latches no projeto]]
  
;Aula  27  (8 fev):
+
{{fig|5.4|Comparação do ''Technology Map'' de um Latch_D (esquerda) com FF_D (direita)| FF_D_LATCH_D.png| 800 px | }}
 
 
*Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
 
:*Escreva o código VHDL que implemente a ALU mostrada no diagrama abaixo. Use operações do tipo SIGNED. As entradas '''a''' e '''b''' e saída '''y''' ter o número de bits definido através de GENERIC, e ser do tipo STD_LOGIC_VECTOR. Faça simulação funcional para os diferentes OPCODE.
 
  
 +
:*Ver pag. 151 a 156 de <ref name="PEDRONI2010b"/>
 +
:*[[Especificando o valor de Power-Up do flip-flop D]]
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
----------------------
+
-- Flip Flop tipo D com reset síncrono sensível a borda de subida.
-- File: alu.vhd    --
+
-- Modifique a descrição para que o reset_ass seja assíncrono e reset_sinc seja síncrono.
----------------------
+
-- Note que a função rising_edge(clock) é equivalente a (clock'event and clock'last_value = '0' and clock = '1'))
 +
 
 +
process (clock, reset)
 +
begin
 +
  if (reset = '1') then
 +
      q <= '0';
 +
  elsif (clock'event and clock'last_value = '0' and clock = '1')) then
 +
      q <= d;
 +
  end if;
 +
end process;
 +
</syntaxhighlight>
 +
{{fig|5.5|RTL do Flip-flop D com reset assíncrono e reset síncrono| FF_D_RST_SINC_ASINC.png| 400 px | }}
 +
*[[Como evitar o uso da lógica combinacional no reset síncrono]]
  
entity alu is
+
*Exercício:  Implemente um registrador com N FF_D no lugar de um único FF_D.
generic (N : natural := 8);
+
{{fig|5.6|RTL do Registrador (de 4 bits) com reset assíncrono| REG_RST.png| 400 px | }}
port
+
{{fig|5.7|Techonogy Map do Registrador (de 4 bits) com reset assíncrono| TM_REG_RST.png| 400 px | }}
(
+
* Faça a simulação funcional do DFFs e do Latch
a, b  : in std_logic(? downto 0);
 
cin    : in std_logic;
 
opcode : in std_logic(? downto 0);
 
y      : out std_logic(? downto 0)
 
);
 
end entity;
 
  
architecture alu of alu is
 
begin
 
  
end architecture;
+
;Encontro 21 (20 out.)
</syntaxhighlight>
+
* Contador crescente 0-N (baseado no Ex.6.2), com N = 2^Mbits-1 (1 3 7 15)
 +
:* Com ''overflow'' no valor máximo
  
{{fig|3.9| Unidade de Lógica e Aritmética | Alu_pedroni.png | 600 px | pag. 127 <ref name="PEDRONI2010b"/>}}
+
* Contador crescente 0-N (baseado no Ex.6.2), com N =/= 2^Mbits (5, 10)
 +
:* Com ''overflow'' no valor máximo
  
:*Ver pag. 127 a 134 de <ref name="PEDRONI2010b"/>
+
;Encontro 33 (31 out.)
  
;Aula  28  (10 fev):
+
* Converter os contadores para modo decrescente 0-N
Outros exemplos a serem estudados:
+
:* Com ''underflow'' no valor máximo
* Porta AND e NAND generica (uso do FOR GENERATE)
 
* Detector de paridade
 
{{collapse bottom}}
 
  
===Unidade 5 - Código Sequencial===
+
* Modificar os contadores para pararem no valor máximo(crescentes) e mínimo(decresces).
* 4 ENCONTROS
+
 
{{collapse top| expand=true| Unidade 5 - Código Sequencial}}
+
* '''DESAFIO''': Faça um contador que conte de 0 a N, mas que seja crescente ou decrescente controlado por uma entrada DIR.  
;Aula  29  (15 fev):
+
:* Se DIR = '1' a contagem é crescente se DIR = '0' a contagem é decrescente.
*Código Sequencial.
+
:* Ao atingir o valor máximo (ou mínimo) o contador deverá fazer overflow para o valor 0 (ou N).
:*Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
+
 
:*Diferenças entre os objetos SIGNAL e VARIABLE
+
 
:*Tipos de elementos de memória: Latch x Flip-flop
+
;Encontro 34 (1 nov.)
::* Latch D
+
 
::* Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
+
Instruções do tipo '''LOOP''': LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
:*Seção de código sequencial '''PROCESS''': lista de sensibilidade
+
 
 +
*Instrução '''LOOP''' incondicional:
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
+
[rótulo:] LOOP
            [parte_declarativa]
 
        BEGIN
 
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             ...
 
             ...
        END PROCESS [rótulo];
+
          END LOOP [rótulo];
 
</syntaxhighlight>
 
</syntaxhighlight>
:*Instrução '''IF'''
+
 
 +
*Instrução '''FOR-LOOP''':
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] IF condição THEN
+
[rótulo:] FOR identificador IN faixa LOOP
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             ...
 
             ...
        ELSIF condição THEN
+
          END LOOP [rótulo];
 +
</syntaxhighlight>
 +
 
 +
*Instrução '''WHILE-LOOP''':
 +
<syntaxhighlight lang=vhdl>
 +
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             ...
 
             ...
        ELSE
+
          END LOOP [rótulo];
             afirmação_sequencial;
+
</syntaxhighlight>
 +
 
 +
*Instrução LOOP com '''EXIT''':
 +
<syntaxhighlight lang=vhdl>
 +
[rótulo:] [FOR identificador IN faixa] LOOP
 +
             afirmação_sequencial;
 +
            EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
 
             afirmação_sequencial;
 
             afirmação_sequencial;
 
             ...
 
             ...
        END IF [rótulo];
+
          END LOOP [rótulo];
 +
</syntaxhighlight>
  
 +
*Instrução LOOP com '''NEXT''':
 +
<syntaxhighlight lang=vhdl>
 +
[rótulo:] [FOR identificador IN faixa] LOOP
 +
            afirmação_sequencial;
 +
            NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
 +
                                              -- e incrementa o "identificador".
 +
            afirmação_sequencial;
 +
            ...
 +
          END LOOP [rótulo];
 
</syntaxhighlight>
 
</syntaxhighlight>
:*Exemplos:
+
 
::* DFFs com Reset Assincrono e Reset Sincrono, com Enable, com Preset (Variação Ex 6.1).
+
*Instrução '''CASE'''  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
--Flip Flop tipo D com reset assincrono, sensivel a borda de subida.
+
[rótulo:] CASE expressão IS
process (clock,reset)
+
                  WHEN valor => atribuições;                            -- valor único
begin
+
                  ...
  if (reset = '1') then
+
                  WHEN valor1 | valor2 | ... | valorN  => atribuições; -- lista de valores
      q <= '0';
+
                  ...
-- elsif (clock'event and clock = '1') then or
+
                  WHEN valor1 TO valor2   => atribuições;             -- faixa de valores
   elsif (rising_edge(clock)) then
+
                  ...
      q <= d;
 
  end if;
 
end process;
 
  
--Flip Flop tipo D com preset assincrono e sinal de enable, sensivel a borda de descida.
+
          END CASE;
process (clock, preset)
 
begin
 
  if (preset = '1') then
 
      q <= '1';
 
  elsif (falling_edge(clock)) then
 
      if (enable = '1') then
 
        q <= d;
 
      end if;
 
  end if;
 
end process;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
  
::* Comparar com Latch (sem clk'event).
+
* Exemplos:  
<syntaxhighlight lang=vhdl>
+
:* Leading Zeros (LOOP com EXIT) (Ex 6.5) - Esse circuito deve determinar quantos zeros tem em um vetor de entrada '''Vin''' antes do primeiro bit '1', começando da esquerda para a direita.
--Latch tipo D com reset assincrono.
+
::*Fazer a síntese do circuito; fazer simulação no Modelsim; analisar a forma como o compilador implementou o incrementador.
process (enable, reset, d)
 
begin
 
  if (reset = '1') then
 
      d <= '0';
 
  elsif (enable='1')) then
 
      q <= d;
 
  end if;
 
end process;
 
</syntaxhighlight>
 
:*Ver pag. 151 a 156 de <ref name="PEDRONI2010b"/>
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- Flip Flop tipo D com reset síncrono sensível a borda de subida.
+
entity leading_zeros is
-- Modifique a descrição para que o reset_ass seja assíncrono e reset_sinc seja síncrono.
+
generic (N : natural := 8);
-- Note que a função rising_edge(clock) é equivalente a (clock'event and clock'last_value = '0' and clock = '1'))
+
port
 +
( ________ : in std_logic_vector(0 to N-1);
 +
count : out integer range 0 to N
 +
);
 +
end entity;
 +
 
 +
architecture ____ of leading_zeros is
  
process (clock, reset)
 
 
begin
 
begin
  if (reset = '1') then
+
process (data)
      q <= '0';
+
variable count : integer ____ 0 to N
  elsif (clock'event and clock'last_value = '0' and clock = '1')) then
+
begin
      q <= d;
+
count := 0;
  end if;
+
for i ___ data'range ____
end process;
+
case data(i) is
 
+
when '0' => count := count + 1;
 +
when _____ => exit;
 +
end  ___
 +
end ____
 +
zeros <= count;
 +
end process;
 +
end _______;
 
</syntaxhighlight>
 
</syntaxhighlight>
* Simulação funcional do DFFs e do Latch
 
  
* Porta paralela com N DFFs.
+
:* Contador de zeros (FOR LOOP) - Esse circuito deve determinar quantos zeros tem em um vetor de entrada '''Vin'''.
 +
::*Fazer a síntese do circuito; fazer simulação no Modelsim; analisar a forma como o compilador implementou o incrementador.
  
;Aula  30  (16 fev):
+
*Ver pag. 161 a 164 de <ref name="PEDRONI2010b"/>
* Contador crescente 0-N (baseado no Ex.6.2), com N = 2^Mbits-1 (1 3 7 15)
 
:* Com overflow no valor máximo
 
  
* Contador crescente 0-N (baseado no Ex.6.2), com N =/= 2^Mbits (5, 10)
+
;Encontro 35 e 36  (7 e 9 nov.)
:* Com parada no valor máximo
+
* Carry-Ripple Adder (FOR-LOOP) (Ex 6.4) - apenas analisar.
:* Com overflow no valor máximo
+
:* Um somador do tipo carry ripple utiliza o bloco básico '''full adder''' para construir somadores de qualquer número de bits. Os bits carry são adicionados aos blocos posteriores, produzindo um hardware combinário. 
 +
:* O full adder é baseado nas funções.
 +
::<math> s_k = a_k \oplus b_k \oplus c_k  </math> 
 +
::<math> c_{k+1} = a_k . b_k +  a_k . c_k + b_k . c_k    </math> 
 +
:: onde <math> c_{k+1} </math> é o '''carry out''', <math> c_{k} </math> é o '''carry in'''
  
* Contador decrescente 0-N (baseado no Ex.6.2), com N =/= 2^Mbits (5, 10)
+
Esse somador pode ser implementado tanto com código sequencial como com código concorrente.  Note que no primeiro caso o bit de '''carry''' se definido como '''variable''' pode ser reaproveitado. No segundo caso é necessário criar um vetor completo para conectar os '''carry_out''' de um estágio ao '''carry_in''' do próximo.
:* Com parada no valor mínimo
 
:* Com underflow no valor máximo
 
  
;Aula  31  (17 fev):
+
<syntaxhighlight lang=vhdl>
* Projetar um contador em BCD entre 0 e 99, com entrada de clock, reset e saidas unidade(0 a 9) e dezena (0 a 9).
+
library ieee;
:* versão 1 - contagem crescente.
+
use ieee.std_logic_1164.all;
:* versão 2 - contagem decrescente.
 
:* versão 3 - contagem crescente ou decrescente controlado por uma entrada DIR. Se DIR = '0' a contagem é crescente se DIR = '1' a contagem é decrescente.
 
:'''Nota:'''
 
::Antes de implementar a versão 3 verifique no RTL das versões 1 e 2 as diferenças, e pense  nas possibilidades de reutilização do hardware. O que é comum entre elas e o que precisa ser acrescentado na versão 3. 
 
::Tente obter uma versão com o mínimo acréscimo em elementos lógicos.
 
  
;Aula  32  (22 fev):
+
entity carry_ripple_adder is
* Registrador de deslocamento (Ex.6.3)
+
generic (N : integer := 3);
{{fig|4.1| RTL do registrador de deslocamento (Ex.6.3) | ShifRegRight.png | 800 px |}}
+
port (
 
+
a, b : std_logic_vector (N-1 downto 0);
:Versão 1 - Implementação com 4 FF D.
+
cin  : std_logic;
<syntaxhighlight lang=vhdl>
+
s    : out std_logic_vector (N-1 downto 0);
entity shift_reg4_right is
+
cout : out std_logic
port
 
(
 
din, clk, rst : in std_logic;
 
dout          : out std_logic
 
 
);
 
);
 
end entity;
 
end entity;
  
architecture ifsc of shift_reg4_right is
+
architecture estrutural_sequencial_v1 of carry_ripple_adder is
 +
begin
 +
-- Uso de um codigo sequencial para geracao de um circuito combinacional
 +
process (a, b, cin) is
 +
variable c : std_logic;
 +
begin
 +
c := cin;
 +
for i in 0 to N-1 loop
 +
s(i) <= a(i) xor b(i) xor c;
 +
c := (a(i) and b(i)) or (a(i) and c) or (b(i) and c);
 +
end loop;
 +
cout <= c;
 +
end process;
 +
end architecture;
 +
 
 +
architecture estrutural_concorrente_v1 of carry_ripple_adder is
 +
signal c : std_logic_vector(N downto 0);
 
begin
 
begin
 +
-- Uso de um codigo concorrente para geracao de um circuito combinacional
 +
c(0) <= cin;
 +
l1: for i in 0 to N-1 generate
 +
s(i) <= a(i) xor b(i) xor c(i);
 +
c(i+1) <= (a(i) and b(i)) or (a(i) and c(i)) or (b(i) and c(i));
 +
end generate;
 +
cout <= c(N);
 
end architecture;
 
end architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
:Versão 2 - Implementação com for generate da conexão de FF D.
+
{{fig|5.1|RTL do carry_ripple_adder de 3 bits | RTL_carry_ripple_adder3.png| 800 px |}}
 +
 
 +
*Instrução '''WAIT''': WAIT UNTIL, WAIT FOR (simulação apenas),  WAIT ON (não implementada no Quartus II).  
 +
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity shift_reg_right is
+
[rótulo:] WAIT UNTIL <condition>;
generic (N : integer := 4);
+
[rótulo:] WAIT ON sensitivity_list;
port
+
[rótulo:] WAIT FOR time_expression;
 +
</syntaxhighlight>
 +
 
 +
:Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
 +
:Algumas instruções de '''WAIT''' serão utilizadas na criação de '''TestBench''' em VHDL para a simulação com o '''MODELSIM'''.
 +
 
 +
* Projetar um contador em BCD entre 0 e 99 ('''countBCD_DU.vhd'''), com entrada de clock, reset e saidas unidade(0 a 9) e dezena (0 a 9). Projete o contador para parar em qualquer valor de DU onde D é a dezena e U é a unidade.
 +
 
 +
:* versão 1 - contagem crescente.
 +
{{fig|5.2|RTL do contador BCD 00 a 99 | RTL_countBCD00-99.png| 800 px |}}
 +
{{fig|5.3|Simulação do contador BCD 00 a 99 | MODELSIM_countBCD00-99.png| 800 px |}}
 +
 
 +
* Projetar um divisor de clock que dado um clock de entrada de f Hz, produza na saída um sinal de clock de f/N onde N é um número inteiro ('''div_clk_N''')
 +
 
 +
*Projetar um conversor de BCD para SSD - display de sete segmentos ('''BCD2SSD.vhd''').  O circuito deve ter uma entrada que permite escolher se o display é do tipo anodo comum ou catodo comum.
 +
 
 +
<!--
 +
 
 +
:* versão 2 - contagem decrescente.
 +
:* versão 3 - contagem crescente ou decrescente controlado por uma entrada DIR. Se DIR = '0' a contagem é crescente se DIR = '1' a contagem é decrescente.
 +
{{fig|5.4|RTL do contador bidirecional BCD 00 a 99 | RTL_bicountBCD00-99.png| 800 px |}}
 +
{{fig|5.5|Simulação do contador bidirecional BCD 00 a 99| MODELSIM_bicountBCD00-99.png| 800 px |}}
 +
 
 +
:* versão 4 - contagem crescente de 0 a 59 ou de 0 a 23.  Essa versão será usado no relógio.
 +
 
 +
:'''Nota:'''
 +
::Antes de implementar a versão 3 verifique no RTL das versões 1 e 2 as diferenças, e pense  nas possibilidades de reutilização do hardware.  O que é comum entre elas e o que precisa ser acrescentado na versão 3. 
 +
::Tente obter uma versão com o mínimo acréscimo em elementos lógicos.
 +
* Registrador de deslocamento (Ex.6.3)
 +
{{fig|5.6| RTL do registrador de deslocamento (Ex.6.3) | RTL_ShifRegRight.png | 400 px |}}
 +
{{fig|5.7| Technology Map do registrador de deslocamento (Ex.6.3) | TM_ShifRegRight.png | 800 px |}}
 +
:Versão 1 - Implementação com 4 FF D.
 +
<syntaxhighlight lang=vhdl>
 +
entity shift_reg4_right is
 +
port
 
(
 
(
 
din, clk, rst : in std_logic;
 
din, clk, rst : in std_logic;
Linha 2 123: Linha 2 276:
 
end entity;
 
end entity;
  
architecture ifsc of shift_reg_right is
+
architecture ifsc of shift_reg4_right is
 
begin
 
begin
 
 
end architecture;
 
end architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
:Versão 3 - Implementação com formação de agregado via concatenação.
+
 
 +
:Versão 2 - Implementação com for generate da conexão de FF D.
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
 
entity shift_reg_right is
 
entity shift_reg_right is
Linha 2 141: Linha 2 294:
 
architecture ifsc of shift_reg_right is
 
architecture ifsc of shift_reg_right is
 
begin
 
begin
process (clk, rst)
+
 
variable q : std_logic_vector (N-1 downto 0);
+
end architecture;
begin
+
</syntaxhighlight>
 +
:Versão 3 - Implementação com formação de agregado via concatenação.
 +
<syntaxhighlight lang=vhdl>
 +
entity shift_reg_right is
 +
generic (N : integer := 4);
 +
port
 +
(
 +
din, clk, rst : in std_logic;
 +
dout          : out std_logic
 +
);
 +
end entity;
 +
 
 +
architecture ifsc of shift_reg_right is
 +
begin
 +
process (clk, rst)
 +
variable q : std_logic_vector (N-1 downto 0);
 +
begin
 
if (rst = '1') then
 
if (rst = '1') then
 
q := (others => '0');
 
q := (others => '0');
Linha 2 154: Linha 2 323:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
*Simule o Registrador de Deslocamento usando o QSIM, visualizando os sinais internos para perceber o deslocamento.   
+
*Simule o Registrador de Deslocamento visualizando os sinais internos para perceber o deslocamento.   
*Repita a simulação usando o Modelsim.
 
  
 
Aproveitando a versão 3 ou 2 do Shift Register da aula anterior, projete e faça a simulação dos dois circuitos indicados a seguir:
 
Aproveitando a versão 3 ou 2 do Shift Register da aula anterior, projete e faça a simulação dos dois circuitos indicados a seguir:
Linha 2 185: Linha 2 353:
  
  
;Aula  33 (24 fev):
+
;Aula  36 (24 fev):
 
* Embrião de um conversor paralelo para serial.
 
* Embrião de um conversor paralelo para serial.
  
Linha 2 212: Linha 2 380:
 
</syntaxhighlight>
 
</syntaxhighlight>
  
Instruções do tipo '''LOOP''': LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
 
  
*Instrução '''LOOP''' incondicional:
+
;Encontro 37 (5 jul.):
<syntaxhighlight lang=vhdl>
+
* Preparação para a aula de laboratório (AE5 - Relógio HH-MM-SS Implementação, simulação e testes de hardware)
[rótulo:] LOOP
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
*Instrução '''FOR-LOOP''':
+
;Aula  37  (02 mar):
<syntaxhighlight lang=vhdl>
+
* Preparação para a aula de laboratório [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom(2021.2)_-_Prof._Marcos_Moecke#AE11_-_Laborat.C3.B3rio_de_programa.C3.A7.C3.A3o_de_FPGA_-_Timer_00_a_99 AE11 - Laboratório de programação de FPGA - Timer 00 a 99]
[rótulo:] FOR identificador IN faixa LOOP
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
*Instrução '''WHILE-LOOP''':
+
;Aula  34  (02 mar):
<syntaxhighlight lang=vhdl>
+
* Houve problema na wiki e foi necessário utilizar a VM local apagando a pasta da licença para utilizar o Quartus II.
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
+
* Configurar o circuito parallel2serial para implementar no kit Mercurio IV da Macnica.
            afirmação_sequencial;
+
* Incluir o circuito de antirepique da chave no sinal do clock recebido da chave.
            afirmação_sequencial;
+
:*Ver [[Dicas de como eliminar o repique das chaves mecânicas]]
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
*Instrução LOOP com '''EXIT''':
+
* Os alunos irão simular o conversor '''parallel2serial''' e o conversor '''serial2parallel'''
<syntaxhighlight lang=vhdl>
+
:* É fundamental que vocês tenham o código todo já implementado gerando o RTL correto conforme visto na aula anterior.
[rótulo:] [FOR identificador IN faixa] LOOP
+
:* De preferencia a simulação conforme mostrada nas figuras 4.3 e 4.5 deve estar pronta também.
            afirmação_sequencial;
+
* Após a simulação irão configurar os circuitos para implementar no kit Mercurio IV da Macnica.
            EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
*Instrução LOOP com '''NEXT''':
+
;Aula 34 (12 ago):
<syntaxhighlight lang=vhdl>
+
* Exemplo: Timer de 0 a 9 segundos com saída SSD (Ex 6.6).
[rótulo:] [FOR identificador IN faixa] LOOP
+
:* Unir o código de um contador de 0 a 9 (código sequencial), e um conversor de binário para sete segmentos em código sequencial (usando CASE).  
            afirmação_sequencial;
 
            NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
 
                                              -- e incrementa o "identificador".
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
*Instrução '''CASE''' 
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] CASE expressão IS
+
entity timer0_9 is
                  WHEN valor => atribuições;                            -- valor único
+
port (
                  ...
+
clk1seg,rst: in std_logic;  
                  WHEN valor1 | valor2 | ... | valorN  => atribuições;  -- lista de valores
+
count_out : out std_logic_vector(3 downto 0);
                  ...
+
ssd_out : out std_logic_vector(6 downto 0)
                  WHEN valor1 TO valor2    => atribuições;              -- faixa de valores
 
                  ...
 
 
 
          END CASE;
 
</syntaxhighlight>
 
 
 
* Exemplos:
 
:* Leading Zeros (LOOP com EXIT) (Ex 6.5)  - Esse circuito deve determinar quantos zeros tem em um vetor de entrada '''Vin''' antes do primeiro bit '1', começando da esquerda para a direita.
 
::*Fazer a síntese do circuito; fazer simulação no Modelsim; analisar a forma como o compilador implementou o incrementador.
 
 
 
<syntaxhighlight lang=vhdl>
 
entity leading_zeros is
 
generic (N : natural := 8);
 
port
 
( Vin : in std_logic_vector(0 to N-1);
 
count : out integer range 0 to N
 
 
);
 
);
 
end entity;
 
end entity;
  
architecture ____ of leading_zeros is
+
architecture ifsc_v1 of timer0_9 is
  
 
begin
 
begin
process (data)
+
process (rst, clk1seg)
variable count : integer ____ 0 to N
+
-- processo do contador de 0 a 9
begin
+
        end process;
count := 0;
+
for i ___ data'range ____
+
process (count)
case data(i) is
+
begin
when '0' => count := count + 1;
+
        -- processo do codificador de binario para display de sete segmentos
when _____ => exit;
 
end  ___
 
end ____
 
zeros <= count;
 
 
end process;
 
end process;
end _______;
+
end architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
:* Contador de zeros (FOR LOOP) - Esse circuito deve determinar quantos zeros tem em um vetor de entrada '''Vin'''.
+
A simulação funcional do circuito deverá produzir uma saída semelhante a mostrada abaixo:
::*Fazer a síntese do circuito; fazer simulação no Modelsim; analisar a forma como o compilador implementou o incrementador.
+
{{fig|4.6| simulação funcional do timer0_9 | Timer0_9_SIM_FUNC.png | 600 px | }}
 +
 
 +
A simulação com timing do circuito deverá produzir uma saída semelhante a mostrada abaixo:
 +
{{fig|4.7| simulação com timing do timer0_9 | Timer0_9_SIM_TIME.png | 600 px | }}
  
*Ver pag. 161 a 164 de <ref name="PEDRONI2010b"/>
+
Depois acrescentar a esse circuito um módulo que permita a partir de um sinal de clock com frequência de fclk = 50MHz (T = 20 ns), obter um clock de f = 1 Hz (T = 1 s)
  
* Carry-Ripple Adder (FOR-LOOP) (Ex 6.4) - apenas analisar.
 
:* Um somador do tipo carry ripple utiliza o bloco básico '''full adder''' para construir somadores de qualquer número de bits. Os bits carry são adicionados aos blocos posteriores, produzindo um hardware combinário. 
 
:* O full adder é baseado nas funções.
 
::<math> s_k = a_k \oplus b_k \oplus c_k  </math> 
 
::<math> c_{k+1} = a_k . b_k +  a_k . c_k + b_k . c_k    </math> 
 
:: onde <math> c_{k+1} </math> é o '''carry out''', <math> c_{k} </math> é o '''carry in'''
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity carry_ripple_adder is
+
process (rst, clk50MHz)
generic (N : integer := 4);
+
-- processo do divisor de clock de 50MHz para 1 Hz
port (
+
end process;
a, b : std_logic_vector (N-1 downto 0);
+
</syntaxhighlight>
cin  : std_logic
+
 
s    : out std_logic_vector (N downto 0);
+
Implementar no FPGA o circuito com contador de 0 a 9 segundos com saída SSD e clk de entrada de 50MHz.
cout : out std_logic;
 
);
 
end entity;
 
  
architecture estrutural of carry_ripple_adder
+
* PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
begin
+
* SOLUÇÃO: modificar o  contador para um valor máximo menor (0 a 50-1).  Notar que a simulação é extremamente rápida neste caso.
-- Uso de um codigo sequencial para geracao de um circuito combinacional
+
* Após verificar que o circuito funciona "simulado", configurar o FPGA do '''kit Mercúrio  IV''' para implementar este circuito.
process (a, b, cin)
+
* As informações necessárias para configurar o dispositivo e seus pinos estão em [[Preparando para gravar o circuito lógico no FPGA]]. 
variable c : std_logic_vector(N downto);
+
* Utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
begin
+
* Como o conversor de binário para ssd realizado anteriormente tinha lógica negativa ('0' acende, '1' apaga), será necessário inverter todas as saídas
c(0) := cin;
 
for i in 0 to N - 1 loop
 
-- Codigo de um full adder
 
-- soma de dois bits e carry_in do full adder anterior
 
s(i) <= a(i) xor b(i) xor c(i);
 
-- geraao do carry_out para o proximo full adder
 
c(i + 1) := (a(i) and b(i)) or (a(i) and c(i)) or (b(i) and c(i));
 
end loop;
 
cout <= c(N);
 
end process;
 
end architecture;
 
</syntaxhighlight>
 
 
 
*Instrução '''WAIT''': WAIT UNTIL, WAIT FOR (simulação apenas), WAIT ON (não implementada no Quartus II).
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] WAIT UNTIL <condition>;
+
  ssd_out <= not ssd;
[rótulo:] WAIT ON sensitivity_list;
 
[rótulo:] WAIT FOR time_expression;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
-->
 +
{{collapse bottom}}
  
:Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
+
===Unidade 6 - Projeto a nível de Sistema===
:Algumas instruções de '''WAIT''' serão utilizadas na criação de '''TestBench''' em VHDL para a simulação com o '''MODELSIM'''.
+
* 3 ENCONTROS
 +
{{collapse top| expand=true | Unidade 6 - Projeto a nível de Sistema}}
  
;Aula  34  (02 mar):
+
;Encontro 37 (14 nov.)
* Preparação para a aula de laboratório [https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom(2021.2)_-_Prof._Marcos_Moecke#AE11_-_Laborat.C3.B3rio_de_programa.C3.A7.C3.A3o_de_FPGA_-_Timer_00_a_99 AE11 - Laboratório de programação de FPGA - Timer 00 a 99]
+
*Projeto a nível de Sistema.
 +
:* O '''PACKAGE''' e '''PACKAGE BODY''': onde declarar e como usar.
 +
:* O '''COMPONENT''':  declaração (cópia da '''ENTITY''') e instanciação.  
 +
Assim a entity contador_bcd_00_99
  
<!--
+
<syntaxhighlight lang=vhdl>
====ATUAL====
+
entity  countBCD_DU is
;Aula  34  (02 mar):
+
  generic (max_D : natural := 9; max_U : natural := 9);
* Houve problema na wiki e foi necessário utilizar a VM local apagando a pasta da licença para utilizar o Quartus II.
+
  port (
* Configurar o circuito parallel2serial para implementar no kit Mercurio IV da Macnica.
+
    clk, rst : in std_logic;
* Incluir o circuito de antirepique da chave no sinal do clock recebido da chave.
+
    clk_out : out std_logic;
:*Ver [[Dicas de como eliminar o repique das chaves mecânicas]]
+
    bcd_D, bcd_U : out std_logic_vector(3 downto 0)
 +
  );
 +
end entity;
 +
</syntaxhighlight>
  
* Os alunos irão simular o conversor '''parallel2serial''' e o conversor '''serial2parallel'''
+
Será declarada como um COMPONENT
:* É fundamental que vocês tenham o código todo já implementado gerando o RTL correto conforme visto na aula anterior.
+
<syntaxhighlight lang=vhdl>
:* De preferencia a simulação conforme mostrada nas figuras 4.3 e 4.5 deve estar pronta também.
+
component  countBCD_DU is
* Após a simulação irão configurar os circuitos para implementar no kit Mercurio IV da Macnica.
+
  generic (max_D : natural := 9; max_U : natural := 9);
 +
  port (
 +
    clk, rst : in std_logic;
 +
    clk_out : out std_logic;
 +
    bcd_D, bcd_U : out std_logic_vector(3 downto 0)
 +
  );
 +
end component;
 +
</syntaxhighlight>
  
;Aula 34 (12 ago):
+
* Associação dos nomes das portas aos sinais. PORT -> '''PORT MAP''':  
* Exemplo: Timer de 0 a 9 segundos com saída SSD (Ex 6.6).
+
* Mapeamento por posição e nominal.
:* Unir o código de um contador de 0 a 9 (código sequencial), e um conversor de binário para sete segmentos em código sequencial (usando CASE).
+
<syntaxhighlight lang=vhdl>
 +
comp1 : countBCD_DU
 +
GENERIC MAP    (5, 9)
 +
PORT MAP      (clk,rst, clk1sec, bcd_dezena, bcd_unidade);
 +
</syntaxhighlight>
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity timer0_9 is
+
comp1 : contador_bcd_00_99
port (
+
GENERIC MAP    ( max_D => 5, max_U => 9)
clk1seg,rst: in std_logic;
+
PORT MAP      ( clk => clk,
count_out : out std_logic_vector(3 downto 0);
+
rst => rst,
ssd_out : out std_logic_vector(6 downto 0)
+
clk_out => clk1sec,
);
+
bcd_D => bcd_dezena,
end entity;
+
bcd_U => bcd_unidade);
 +
</syntaxhighlight>
  
architecture ifsc_v1 of timer0_9 is
+
*Métodos de declaração de '''COMPONENT'''.
 +
*Criação de '''COMPONENT''' redimensionáveis.  GENERIC -> '''GENERIC MAP'''
 +
:* Exemplo: Porta NAND de 8 entradas e de 40 entradas, baseado em uma porta NAND genérica.
 +
{{fig|5.1| RTL portas NAND genéricas | NAND8&NAND40.png | 400 px |}}
 +
 
 +
* Construir o projeto do '''contador_ssd_00_99''' usando componentes projetados anteriormente
 +
{{fig|5.2| RTL do contador_ssd_00_99 usando componentes | contador_ssd_00_99_TL.png | 600 px |}}
  
begin
+
;Ver também:
process (rst, clk1seg)
+
*[[Display de 7 segmentos]]
-- processo do contador de 0 a 9
 
        end process;
 
 
process (count)
 
begin
 
        -- processo do codificador de binario para display de sete segmentos
 
end process;
 
end architecture;
 
</syntaxhighlight>
 
  
A simulação funcional do circuito deverá produzir uma saída semelhante a mostrada abaixo:
 
{{fig|4.6| simulação funcional do timer0_9 | Timer0_9_SIM_FUNC.png | 600 px | }}
 
  
A simulação com timing do circuito deverá produzir uma saída semelhante a mostrada abaixo:
+
;Encontro 38 (17  nov.)
{{fig|4.7| simulação com timing do timer0_9 | Timer0_9_SIM_TIME.png | 600 px | }}
+
*[https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom_(Di%C3%A1rio)_-_Prof._Marcos_Moecke#AE4_-_Laborat.C3.B3rio_de_programa.C3.A7.C3.A3o_de_FPGA_-_Rel.C3.B3gio_HHMMSS AE4 - Laboratório de programação de FPGA - Relógio HHMMSS]
  
Depois acrescentar a esse circuito um módulo que permita a partir de um sinal de clock com frequência de fclk = 50MHz (T = 20 ns), obter um clock de f = 1 Hz (T = 1 s)
+
;Encontro 39 (20 nov.)
 +
* Instanciação de '''COMPONENT''' com '''GENERATE'''.
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
process (rst, clk50MHz)
+
gen: for i in 0 to 7 generate
-- processo do divisor de clock de 50MHz para 1 Hz
+
  comp: flip_flop port map (clk, rst, d(i), q(i));
end process;
+
end generate;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
Implementar no FPGA o circuito com contador de 0 a 9 segundos com saída SSD e clk de entrada de 50MHz.
+
:* Uso da instrução '''CONFIGURATION'''.
 +
::* Ligação direta: ARCHITECTURE-ENTITY.
 +
::* Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
 +
 
 +
*FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
  
* PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
+
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a síntese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
* SOLUÇÃO: modificar o  contador para um valor máximo menor (0 a 50-1).  Notar que a simulação é extremamente rápida neste caso.
 
* Após verificar que o circuito funciona "simulado", configurar o FPGA do '''kit Mercúrio  IV''' para implementar este circuito.
 
* As informações necessárias para configurar o dispositivo e seus pinos estão em [[Preparando para gravar o circuito lógico no FPGA]].
 
* Utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
 
* Como o conversor de binário para ssd realizado anteriormente tinha lógica negativa ('0' acende, '1' apaga), será necessário inverter todas as saídas
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
  ssd_out <= not ssd;
+
[rótulo:] assert condição_booleana
 +
[report mensagem]
 +
[severity nivel_severidade];
 
</syntaxhighlight>
 
</syntaxhighlight>
 
  
 +
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
  
-->
+
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou  FAILURE. ERROR é o valor "default" [https://www.ics.uci.edu/~jmoorkan/vhdlref/assert.html].
{{collapse bottom}}
 
  
===Unidade 6 - Projeto a nível de Sistema===
+
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.   
* 4 AULAS
 
{{collapse top| expand=true | Unidade 6 - Projeto a nível de Sistema}}
 
 
 
; Aula 35 (03 mar):
 
*Projeto a nível de Sistema.
 
:* O '''PACKAGE''' e '''PACKAGE BODY''': onde declarar e como usar.
 
:* O '''COMPONENT''': declaração (cópia da '''ENTITY''') e instanciação.
 
Assim a entity contador_bcd_00_99
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity  contador_bcd_00_99 is
+
function nome_funçao (lista_parametros_entrada) return tipo_saida is
  generic (max_dezena : natural := 5; max_unidade : natural := 9);
+
  declarações
  port (
+
begin
    clk, rst : in std_logic;
+
  afirmações sequenciais
    bcd_dezena, bcd_unidade : out std_logic_vector(3 downto 0)
+
  return value;  
  );
+
end function;
end entity;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
  
Será declarada como um COMPONENT
+
:*A '''PROCEDURE''': declaração e uso
 +
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
component  contador_bcd_00_99 is
+
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
  generic (max_dezena : natural := 5; max_unidade : natural := 9);
+
  declarações
  port (
+
begin
    clk, rst : in std_logic;
+
  afirmações sequenciais
    bcd_dezena, bcd_unidade : out std_logic_vector(3 downto 0)
+
end procedure;
  );
 
end component;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
  
* Associação dos nomes das portas aos sinais. PORT -> '''PORT MAP''':
+
;Exemplos de uso ASSERT, FUNCTION e PROCEDURE:
* Mapeamento por posição e nominal.
+
 
<syntaxhighlight lang=vhdl>
+
*Exemplo: Cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
comp1 : contador_bcd_00_99
 
GENERIC MAP    (2, 3)
 
PORT MAP      (clk,rst, bcd_dezena, bcd_unidade);
 
</syntaxhighlight>
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
comp1 : contador_bcd_00_99
+
function log2c (n : integer) return integer is
GENERIC MAP    ( max_dezena => 2, max_unidade => 3)
+
variable m , p : integer;
PORT MAP      ( clk => clk,
+
begin
rst => rst,
+
m := 0;
bcd_dezena => bcd_dezena,
+
p : = 1;
bcd_unidade => bcd_unidade);
+
while p < n loop
 +
m : = m + 1;
 +
p := p * 2;
 +
end loop;
 +
return m;
 +
end log2c;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
*Métodos de declaração de '''COMPONENT'''.
+
* Exemplo: Declaração de FUNCTION em ARCHITECTURE Ex.9.1
*Criação de '''COMPONENT''' redimensionáveis.  GENERIC -> '''GENERIC MAP'''
+
:*Aplicação no projeto do '''timer0_9'''
:* Exemplo: Porta NAND de 8 entradas e de 40 entradas, baseado em uma porta NAND genérica.
 
{{fig|5.1| RTL portas NAND genéricas | NAND8&NAND40.png | 400 px |}}
 
  
* Refazer o projeto do '''contador_ssd_00_99''' usando componentes.
+
* Exemplo: Declaração em FUNCTION PACKAGE Ex. 9.2
{{fig|5.2| RTL do contador_ssd_00_99 usando componentes | contador_ssd_00_99_TL.png | 600 px |}}
 
  
*Ver outros exemplos
+
<syntaxhighlight lang=vhdl>
:*Exemplo: Registrador de deslocamento (variar o tamanho do registrador
+
-- FILE: comparator.vhd
:*Exemplo: Registrador Circular Ex. 8.2
+
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.
:*Exemplo: Porta E com N entradas.
 
:*Exemplo: Detector de Paridade Ex. 8.3
 
:* Ver pag. 201 a 213 de <ref name="PEDRONI2010b"/>
 
  
; Aula 36 e 37 (05 mar) (presencial - Sábado 8h00 as 11h30):
+
library ieee;
*AE11 - Laboratório de programação de FPGA - Timer 00 a 99
+
use ieee.numeric_std.all;
 
+
use work.my_package.all;
; Aula 38 (08 mar):
+
entity organizer is
* Instanciação de '''COMPONENT''' com '''GENERATE'''.
+
generic (size : natural := 3);
 +
port
 +
(
 +
x : in UNSIGNED(2 to 5);
 +
y  : out UNSIGNED(size - 1 downto 0)
 +
);
 +
end entity;
 +
architecture organizer of organizer is
 +
begin
 +
y <= order_and_fill(x, size);
 +
end architecture;
 +
</syntaxhighlight>
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
gen: for i in 0 to 7 generate
+
-- FILE: my_pkg.vhd
  comp: flip_flop port map (clk, rst, d(i), q(i));
+
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010. 608 p. ISBN 9780262014335
end generate;
 
</syntaxhighlight>
 
  
<!--
+
library ieee;
:* Uso da instrução '''CONFIGURATION'''.
+
use ieee.numeric_std.all;
::* Ligação direta: ARCHITECTURE-ENTITY.
+
package my_package is
::* Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
+
function order_and_fill (input : UNSIGNED; bits : natural) return UNSIGNED;
-->
+
end package;
  
*FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
+
package body my_package is
 +
function order_and_fill (input : UNSIGNED; bits : natural) return UNSIGNED is
 +
variable a : UNSIGNED(input'LENGTH - 1 downto 0);
 +
variable result : UNSIGNED(bits - 1 downto 0);
 +
begin
 +
assert (input'LENGTH <= bits)
 +
report "Improper input size!"
 +
severity FAILURE;
 +
if (input'LEFT > input'RIGHT) then
 +
a := input;
 +
else
 +
for i in a'range loop
 +
a(i) := input(input'LEFT + i);
 +
end loop;
 +
end if;
 +
if (a'LENGTH < bits) then
 +
result(bits - 1 downto a'LENGTH) := (others => '0');
 +
result(a'LENGTH - 1 downto 0) := a;
 +
else
 +
result := a;
 +
end if;
 +
return result;
 +
end function;
 +
end package body;
 +
</syntaxhighlight>
  
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a síntese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
+
* Exemplo: min_max Ex.9.4
 +
{{fig|6.1|Fluxograma da PROCEDURE min_max| fluxogramMinMax.png| 600 px | pag. 232 de <ref name="PEDRONI2010b"/> }}
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] assert condição_booleana
+
-- FILE: comparator.vhd
[report mensagem]
+
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.
[severity nivel_severidade];
 
</syntaxhighlight>
 
  
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
+
use work.my_package.all;
  
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou FAILURE. ERROR é o valor "default" [https://www.ics.uci.edu/~jmoorkan/vhdlref/assert.html].
+
entity comparator is
 +
port
 +
(
 +
a, b, c  : in integer range 0 to 255;
 +
min, max : out integer range 0 to 255
 +
);
 +
end entity;
  
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE. 
+
architecture comparator of comparator is
 
 
<syntaxhighlight lang=vhdl>
 
function nome_funçao (lista_parametros_entrada) return tipo_saida is
 
  declarações
 
 
begin
 
begin
  afirmações sequenciais
+
min_max(a, b, c, min, max);
end function;
+
end architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
 
:*A '''PROCEDURE''': declaração e uso
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
+
-- FILE: my_pkg.vhd
  declarações
+
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.
begin
 
  afirmações sequenciais
 
end procedure;
 
</syntaxhighlight>
 
  
;Exemplos de uso ASSERT, FUNCTION e PROCEDURE:
 
  
*Exemplo: Cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
+
package my_package is
 
+
procedure min_max (
<syntaxhighlight lang=vhdl>
+
signal a, b, c : in integer;
function log2c (n : integer) return integer is
+
signal min, max : out integer
variable m , p : integer;
 
begin
 
m := 0;
 
p : = 1;
 
while p < n loop
 
m : = m + 1;
 
p := p * 2;
 
end loop;
 
return m;
 
end log2c;
 
</syntaxhighlight>
 
 
 
* Exemplo: Declaração de FUNCTION em ARCHITECTURE Ex.9.1
 
:*Aplicação no projeto do '''timer0_9'''
 
 
 
* Exemplo: Declaração em FUNCTION PACKAGE Ex. 9.2
 
 
 
<syntaxhighlight lang=vhdl>
 
-- FILE: comparator.vhd
 
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.
 
 
 
library ieee;
 
use ieee.numeric_std.all;
 
use work.my_package.all;
 
entity organizer is
 
generic (size : natural := 3);
 
port
 
(
 
: in UNSIGNED(2 to 5);
 
: out UNSIGNED(size - 1 downto 0)
 
 
);
 
);
end entity;
+
end package;
architecture organizer of organizer is
+
package body my_package is
begin
+
procedure min_max (
y <= order_and_fill(x, size);
+
signal a, b, c : in integer range 0 to 255;
end architecture;
+
signal min, max : out integer range 0 to 255) is
 +
begin
 +
if (a >= b) then
 +
if (a >= c) then
 +
max <= a;
 +
if (b >= c) then
 +
min <= c;
 +
else
 +
min <= b;
 +
end if;
 +
else
 +
max <= c;
 +
min <= b;
 +
end if;
 +
else
 +
if (b >= c) then
 +
max <= b;
 +
if (a >= c) then
 +
min <= c;
 +
else min <= a;
 +
end if;
 +
else
 +
max <= c;
 +
min <= a;
 +
end if;
 +
end if;
 +
end procedure;
 +
end package body;
 +
 
 
</syntaxhighlight>
 
</syntaxhighlight>
  
<syntaxhighlight lang=vhdl>
+
*Ver outros exemplos
-- FILE: my_pkg.vhd
+
:*Exemplo: Registrador de deslocamento (variar o tamanho do registrador
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010. 608 p. ISBN 9780262014335
+
:*Exemplo: Registrador Circular Ex. 8.2
 +
:*Exemplo: Porta E com N entradas.
 +
:*Exemplo: Detector de Paridade Ex. 8.3
 +
:* Ver pag. 201 a 213 de <ref name="PEDRONI2010b"/>
 +
<!--
 +
; Encontro 41 e 42 (16 jul. Sábado):
 +
*[https://wiki.sj.ifsc.edu.br/index.php/DLP29006-Engtelecom_(Di%C3%A1rio)_-_Prof._Marcos_Moecke#AE7_-_Laborat.C3.B3rio_de_programa.C3.A7.C3.A3o_de_FPGA_-_Timer_000_a_999_.28vers.C3.A3o_2.29 AE7 - Laboratório de programação de FPGA - Timer 000 a 999 (versão 2) ]
 +
 
 +
::* Exercícios: 9.1 a 9.4, 9.6 a 9.9
 +
:* Ver pag. 213 a 239 de <ref name="PEDRONI2010b"/>)
  
library ieee;
+
;Aula XX e XX (24 e 26 jun):
use ieee.numeric_std.all;
+
* Implementação de um serializador e um deserializador usando componentes.
package my_package is
 
function order_and_fill (input : UNSIGNED; bits : natural) return UNSIGNED;
 
end package;
 
  
package body my_package is
+
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
function order_and_fill (input : UNSIGNED; bits : natural) return UNSIGNED is
+
entity div_clk is
variable a : UNSIGNED(input'LENGTH - 1 downto 0);
+
entrada clk_in
variable result : UNSIGNED(bits - 1 downto 0);
+
saída clk_out
begin
+
: Componente 2 - Registrador de deslocamento com entrada serial e paralelo e saída serial e paralelo com N FF, configurável pelo '''generic N'''
assert (input'LENGTH <= bits)
+
entity shift_reg is
report "Improper input size!"
+
entradas clk_in, rst_in, ena_in, d_in[N-1..0], s_in
severity FAILURE;
+
saídas d_out[N-1..0], s_out
if (input'LEFT > input'RIGHT) then
+
a := input;
+
: Componente 3 - Porta paralela com N entradas, configurável pelo '''generic N'''.
else
+
entity parallel_reg is
for i in a'range loop
+
entradas clk_in, rst, ena, d_in[N-1..0]
a(i) := input(input'LEFT + i);
+
saídas d_out[N-1..0]
end loop;
 
end if;
 
if (a'LENGTH < bits) then
 
result(bits - 1 downto a'LENGTH) := (others => '0');
 
result(a'LENGTH - 1 downto 0) := a;
 
else
 
result := a;
 
end if;
 
return result;
 
end function;
 
end package body;
 
</syntaxhighlight>
 
  
* Exemplo: min_max Ex.9.4
+
: Outros componentes necessários.
{{fig|6.1|Fluxograma da PROCEDURE min_max| fluxogramMinMax.png| 600 px | pag. 232 de <ref name="PEDRONI2010b"/> }}
 
  
<syntaxhighlight lang=vhdl>
+
* Simular o serializador e  deserializador com ModelSim.
-- FILE: comparator.vhd
+
* Implementar em um kit FPGA (Mercurio IV) usando o '''lab home office'''.  
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.
 
  
use work.my_package.all;
+
;Aula XX XX (1 e 3 jul):
 
+
* Atividade extraclasse, ficou dividida em:
entity comparator is
+
:*Equipe 1: Detalhar a '''architecture''' do componente '''div_clk''' e fazer sua simulação.
port
+
::-Verificar se o '''clk_out''' está alto durante apenas um período do '''clk_in'''. Esse período deve ser entre duas bordas de descida do '''clk_in'''.
(
+
:*Equipe 1: Detalhar a '''architecture''' do componente '''parallel_reg''' e fazer sua simulação.
a, b, c  : in integer range 0 to 255;
+
:*Equipe 2: Detalhar a '''architecture''' do componente '''shift_reg''', e fazer a simulação. 
min, max : out integer range 0 to 255
+
::-Verificar a transferência dos dados da entrada serial para a saída paralela (função Desserializador).
);
+
::-Verificar a transferência dos dados da entrada paralela para a saída serial (função Serializador).
end entity;
+
::-Verificar a transferência dos dados da entrada serial para a saída serial (função Delay).
 +
::-Verificar a transferência dos dados da entrada paralela para a saída paralela (função porta Paralela).
 +
::-Verificar a possibilidade de utilizar esse componente no lugar do '''parallel_reg'''.
 +
* As equipes devem terminar de simular os componentes e integrá-los no projeto.  
 +
* Após a integração deverá ser feita a simulação do sistema completo.
 +
* Mapear os pinos do FPGA para fazer o teste de funcionamento com um baud-rate de 1bit/s, entrada chaves, saídas leds.
  
architecture comparator of comparator is
+
; Aula 43 e 44  (12 mar) (presencial - Sábado 8h00 as 11h30):
begin
+
*AE12 - Laboratório de programação de FPGA - Relógio Digital de 24 horas
min_max(a, b, c, min, max);
+
-->
end architecture;
+
{{collapse bottom}}
</syntaxhighlight>
 
  
 +
===Unidade 7 - Maquinas de Estado Finitas===
 +
* 4 ENCONTROS
 +
{{collapse top | expand=true | Unidade 7 - Maquinas de Estado Finitas}}
 +
;Encontro 39 (24  nov.)
 +
* O que é uma FSM - Finite State Machine
 +
{{fig|7.1|Exemplo de diagrama de estados de uma FSM Fig11.1(a) e implementação em hardware da FSM Fig11.2(a)|Fig11_1_FSM.png|800 px|Figura 11.1 e 11.2 de <ref name="PEDRONI2010b"/>}}
 +
* Modelo básico em VHDL de FSM
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- FILE: my_pkg.vhd
+
LIBRARY ieee;
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.
+
USE ieee.std_logic_1164.ALL;
 
+
----------------------------------------------------------
 
+
ENTITY < entity_name > IS
package my_package is
+
PORT (
procedure min_max (
+
clk, rst : IN STD_LOGIC;
signal a, b, c : in integer;
+
entradas : IN < data_type > ;
signal min, max : out integer
+
saidas : OUT < data_type >);
);
+
END entity;
end package;
+
----------------------------------------------------------
package body my_package is
+
ARCHITECTURE < architecture_name > OF < entity_name > IS
procedure min_max (
+
TYPE state IS (A, B, C, ...);
signal a, b, c : in integer range 0 to 255;
+
SIGNAL pr_state, nx_state : state;
signal min, max : out integer range 0 to 255) is
+
-- ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
begin
+
-- ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
if (a >= b) then
+
-- ATTRIBUTE SYN_ENCODING OF state : TYPE IS "safe";
if (a >= c) then
+
BEGIN
max <= a;
+
------Logica Sequencial da FSM:------------
if (b >= c) then
+
PROCESS (clk, rst)
min <= c;
+
BEGIN
else
+
IF (rst = '1') THEN
min <= b;
+
pr_state <= A;
end if;
+
ELSIF rising_edge(clk) THEN
else
+
-- apenas na borda do "clk" ocorre a mudança de estado da FSM
max <= c;
+
pr_state <= nx_state;
min <= b;
+
END IF;
end if;
+
END PROCESS;
else
+
------Logica Combinacional da FSM:------------
if (b >= c) then
+
PROCESS (pr_state, entradas)
max <= b;
+
BEGIN
if (a >= c) then
+
------Valores default das saidas------------
min <= c;
+
                saidas <= < valor > ;
else min <= a;
+
CASE pr_state IS
end if;
+
WHEN A =>
else
+
-- é necessário um  WHEN para definir as "saidas" durante cada estado
max <= c;
+
-- e analisar as "entradas" para definir o próximo estado
min <= a;
+
saidas <= < valor > ;   -- apenas se diferente do valor default
end if;
+
IF (entradas = < valor >) THEN
end if;
+
nx_state <= B;
end procedure;
+
...
end package body;
+
ELSE
 +
nx_state <= A;
 +
END IF;
 +
WHEN B =>
 +
saidas <= < valor > ; -- apenas se diferente do valor default
 +
-- dependendo das "entradas", pode ser que hajam mais de um estados de destino
 +
IF (entradas = < valor >) THEN
 +
nx_state <= C;
 +
ELSIF (entradas = < valor >) THEN
 +
nx_state <= A;
 +
ELSE
 +
nx_state <= B;
 +
END IF;
 +
WHEN C =>
 +
saidas <= < valor > ; -- apenas se diferente do valor default
 +
-- a passagem para outro estado pode não depender de nenhuma "entrada"
 +
nx_state <= D;
 +
WHEN ...
  
</syntaxhighlight>
 
  
::* Exercícios: 9.1 a 9.4, 9.6 a 9.9
+
END CASE;
:* Ver pag. 213 a 239 de <ref name="PEDRONI2010b"/>)
+
END PROCESS;
 
+
------Seção de Saída (opcional):-------
<!--
+
-- Essa seção visa garantir que a saida new_output esteja sincronizada com o clk.
;Aula XX e XX (24 e 26 jun):
+
-- Se isso não for importante, ela pode ser suprimida
* Implementação de um serializador e um deserializador usando componentes.
+
PROCESS (clk, rst)
 +
BEGIN
 +
IF (rst = '1') THEN
 +
new_output <= < valor > ;
 +
ELSIF rising_edge(clk) THEN --or falling_edge(clk)
 +
new_output <= output;
 +
END IF;
 +
END PROCESS;
 +
END architecture;
 +
</syntaxhighlight>
 +
;Dica: Se ao discrever uma FSM, faltar algum estado, o Quartus dará erro durante a compilação. Para resolver temporariamente isso pode se usar antes de encerrar o case
 +
<syntaxhighlight lang=vhdl>
 +
WHEN others =>
 +
nx_state <= <initial_state>;
 +
</syntaxhighlight>
  
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
+
:* Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
entity div_clk is
+
:* Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados
entrada clk_in
+
<center>
saída clk_out
+
<math> RST \to A \xrightarrow{\text{x=0}} A \xrightarrow{\text{x=1}} A \xrightarrow{\text{x=2}} B \xrightarrow{\text{x=2}} B \xrightarrow{\text{x=0}} C \xrightarrow{\text{x=0}} C \xrightarrow{\text{x=2}} C \xrightarrow{\text{x=1}} A \xrightarrow{\text{x=2}} B \xrightarrow{\text{x=1}} A </math>
: Componente 2 - Registrador de deslocamento com entrada serial e paralelo e saída serial e paralelo com N FF, configurável pelo '''generic N'''
+
</center>
entity shift_reg is
+
:: Ver pag. 277 a 280 de <ref name="PEDRONI2010b"/>
  entradas clk_in, rst_in, ena_in, d_in[N-1..0], s_in
+
:: Note que o uso da atribuição manual do '''enum_encoding''' pode faz com que o compilador do Quartus não reconheça a FSM, mas use logica regular para representar o sistema.
saídas d_out[N-1..0], s_out
 
 
: Componente 3 - Porta paralela com N entradas, configurável pelo '''generic N'''.
 
entity parallel_reg is
 
  entradas clk_in, rst, ena, d_in[N-1..0]
 
saídas d_out[N-1..0]
 
  
: Outros componentes necessários.
+
:* Máquina de vender doces
 +
::*Use o template da FSM para modelar o sistema mostrado na figurar a seguir.
  
* Simular o serializador e  deserializador com ModelSim.
+
{{fig|7.2|FSM - Máquina de vender doces (diagrama de estados)|FSM_MVD.png|800 px|Adaptado da Figura 11.3 de <ref name="PEDRONI2010b"/> }}
* Implementar em um kit FPGA (Mercurio IV) usando o '''lab home office'''.  
+
 
 +
{{fig|7.3|FSM - Máquina de vender doces (diagrama de estados com default |FSM_MVD_default.png|800 px|}}
 +
 
 +
::*Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.
 +
{{fig|7.4|FSM - Máquina de vender doces (simulação)|FSM_tb40.png|800 px|}}
 +
 
 +
:* Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
 +
:: Ver pag. 281 a 282 de <ref name="PEDRONI2010b"/>
 +
:: '''Dica''':  para desenhar a FSM recomendo utilizar um software on-line para tal finalidade.  Por Ex.  [https://online.visual-paradigm.com/pt/ Visual Paradigm].
  
;Aula XX XX (1 e 3 jul):
 
* Atividade extraclasse, ficou dividida em:
 
:*Equipe 1: Detalhar a '''architecture''' do componente '''div_clk''' e fazer sua simulação.
 
::-Verificar se o '''clk_out''' está alto durante apenas um período do '''clk_in'''. Esse período deve ser entre duas bordas de descida do '''clk_in'''.
 
:*Equipe 1: Detalhar a '''architecture''' do componente '''parallel_reg''' e fazer sua simulação.
 
:*Equipe 2: Detalhar a '''architecture''' do componente '''shift_reg''', e fazer a simulação. 
 
::-Verificar a transferência dos dados da entrada serial para a saída paralela (função Desserializador).
 
::-Verificar a transferência dos dados da entrada paralela para a saída serial (função Serializador).
 
::-Verificar a transferência dos dados da entrada serial para a saída serial (função Delay).
 
::-Verificar a transferência dos dados da entrada paralela para a saída paralela (função porta Paralela).
 
::-Verificar a possibilidade de utilizar esse componente no lugar do '''parallel_reg'''.
 
* As equipes devem terminar de simular os componentes e integrá-los no projeto. 
 
* Após a integração deverá ser feita a simulação do sistema completo.
 
* Mapear os pinos do FPGA para fazer o teste de funcionamento com um baud-rate de 1bit/s, entrada chaves, saídas leds.
 
-->
 
  
; Aula 40 e 41  (12 mar) (presencial - Sábado 8h00 as 11h30):
+
;Encontro 40 (28 nov.)
*AE12 - Laboratório de programação de FPGA - Relógio Digital de 24 horas
+
:* O problema de oscilando entre os estados em FSM.
  
{{collapse bottom}}
+
{{fig|7.5| FSM - alarme (oscilando entre os estados quando remoto é 1)  |alarme_erro.png|500 px|Adaptado de Figura 11.9(a) de <ref name="PEDRONI2010b"/>}}
  
===Unidade 7 - Maquinas de Estado Finitas===
+
::* Solução através do uso de FLAG
{{collapse top| expand=true | Unidade 7 - Maquinas de Estado Finitas}}
 
* 3 AULAS
 
  
; Aula 39  (10 mar):
+
{{fig|7.6| FSM - alarme (solução com flag) |alarme_flag.png|500 px|Adaptado de Figura 11.9(b) de <ref name="PEDRONI2010b"/>}}
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
+
 
:* O que é uma FSM - Finite State Machine
+
::* Solução através do uso de estados de WAIT adicionais.
{{fig|7.1|Exemplo de diagrama de estados de uma FSM Fig11.1(a) e implementação em hardware da FSM Fig11.2(a)|Fig11_1_FSM.png|800 px|Figura 11.1 e 11.2 de <ref name="PEDRONI2010b"/>}}
+
 
:* Modelo de FSM
+
{{fig|7.7| FSM - alarme (solução com estados de WAIT) |alarme_wait.png|500 px|Adaptado de Figura 11.9(c) de <ref name="PEDRONI2010b"/>}}
<syntaxhighlight lang=vhdl>
+
 
LIBRARY ieee;
+
{{fig|7.8| Simulação da FSM - alarme (solução com estados de WAIT) |simulation_alarme_wait.png|800 px|}}
USE ieee.std_logic_1164.ALL;
+
 
----------------------------------------------------------
+
:: Ver pag. 292 a 297 de <ref name="PEDRONI2010b"/>
ENTITY < entity_name > IS
+
*Exercício:  Faça o download do arquivo simple_car_alarm.qar, disponível no Moodle da disciplina e realize as seguintes avaliações:
 +
:#Perceba que existem 4 versões para o mesmo Alarme de Carro.  Realize a simulação no ModelSim das 4 versões e perceba qual é a diferença de funcionamento desses circuitos.
 +
:#Anote o número de elementos em cada versão.
 +
:#Qual versão é a mais adequada na sua opinião?
 +
:#Modifique a sua escolha para que o alarme não possa ser ativado se o "sensor" estiver em "1".
 +
:#Analise o arquivo tb_vX.do e modifique-o para testar também essa nova condição. A simulação deve obrigatoriamente fazer o sistema passar por todos os estados e todas as transições.
 +
:#Implemente a nova versão como uma nova arquitetura "fsm_v5", e escrever o arquivo de simulação "tbv5.do".
 +
:#Salve as telas da simulação ("v5_sim.png"), tela da fsm ("v5_fsm.png"), tela do RTL ("v5_rtl.png").
 +
:#Acrescente os novos arquivos no projeto e salve o novo .qar
 +
 
 +
* Projeto de FSM temporizadas (nas quais as transições são ativadas também pelo tempo).
 +
{{fig|7.9| Tipos de FSM (Condicional, Temporizada e Hibrida) |FSM_type.png|800 px|Figura 11.12 de <ref name="PEDRONI2010b"/>}}
 +
 
 +
* Modelo de FSM temporizada
 +
<syntaxhighlight lang=vhdl>
 +
LIBRARY ieee;
 +
USE ieee.std_logic_1164.ALL;
 +
----------------------------------------------------------
 +
ENTITY < entity_name > IS
 
PORT (
 
PORT (
 
clk, rst : IN STD_LOGIC;
 
clk, rst : IN STD_LOGIC;
Linha 2 766: Linha 2 927:
 
TYPE state IS (A, B, C, ...);
 
TYPE state IS (A, B, C, ...);
 
SIGNAL pr_state, nx_state : state;
 
SIGNAL pr_state, nx_state : state;
-- ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
+
        signal timer: integer range 0 to MAX;
-- ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
+
-- ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
-- ATTRIBUTE SYN_ENCODING OF state : TYPE IS "safe";
+
-- ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
 
BEGIN
 
BEGIN
 
------Logica Sequencial da FSM:------------
 
------Logica Sequencial da FSM:------------
 
PROCESS (clk, rst)
 
PROCESS (clk, rst)
 +
variable count: integer range o to MAX;
 
BEGIN
 
BEGIN
 
IF (rst = '1') THEN
 
IF (rst = '1') THEN
 
pr_state <= A;
 
pr_state <= A;
 +
count := 0;
 
ELSIF (clk'EVENT AND clk = '1') THEN
 
ELSIF (clk'EVENT AND clk = '1') THEN
pr_state <= nx_state;
+
count := count + 1;
 +
if (count >= timer) then
 +
pr_state <= nx_state;
 +
count := 0;
 +
end if;
 
END IF;
 
END IF;
 
END PROCESS;
 
END PROCESS;
Linha 2 783: Linha 2 950:
 
BEGIN
 
BEGIN
 
                 ------Valores default das saidas------------
 
                 ------Valores default das saidas------------
                 output <= < value > ;
+
                 output <= < value >;
 +
                ------Valores default do timer------------
 +
timer <= <value>;
 
CASE pr_state IS
 
CASE pr_state IS
 
WHEN A =>
 
WHEN A =>
 
output <= < value > ;  -- apenas se diferente do valor default
 
output <= < value > ;  -- apenas se diferente do valor default
 
IF (input =< value >) THEN
 
IF (input =< value >) THEN
 +
timer <= <value>;  -- apenas se diferente do valor default
 
nx_state <= B;
 
nx_state <= B;
 
...
 
...
 
ELSE
 
ELSE
 +
timer <= <value>; -- apenas se diferente do valor default
 
nx_state <= A;
 
nx_state <= A;
 
END IF;
 
END IF;
Linha 2 796: Linha 2 967:
 
output <= < value > ; -- apenas se diferente do valor default
 
output <= < value > ; -- apenas se diferente do valor default
 
IF (input =< value >) THEN
 
IF (input =< value >) THEN
 +
timer <= <value>;  -- apenas se diferente do valor default
 
nx_state <= C;
 
nx_state <= C;
 
...
 
...
 
ELSE
 
ELSE
 +
timer <= <value>;  -- apenas se diferente do valor default
 
nx_state <= B;
 
nx_state <= B;
 
END IF;
 
END IF;
Linha 2 815: Linha 2 988:
 
END architecture;
 
END architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
:* Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
+
:Ver pag. 298 a 301 de <ref name="PEDRONI2010b"/>
:* Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados
 
:rst -> A -(x=0)-> A -(x=1)-> A -(x=2)-> B -(x=2)-> B -(x=0)-> C -(x=0)-> C -(x=2)-> C -(x=1)-> A -(x=2)-> B -(x=1)-> A.
 
:: Ver pag. 277 a 280 de <ref name="PEDRONI2010b"/>
 
:: Ver [https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/qts/archives/quartusii_handbook_archive_131.pdf Quartus II Handbook Version 13.1: Manually Specifying Enumerated Types Using the enum_encoding Attribute, pag 16-37] sobre a atribuição manual do  enum_encoding.
 
:: Note que o uso desse atributo faz com que o compilar do Quartus não  reconheça a FSM, mas use logica regular para representar o sistema.
 
:: Ver [https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/qts/archives/quartusii_handbook_archive_131.pdf Quartus II Handbook Version 13.1: Safe State Machines, pag 16-38].
 
  
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
+
;Encontro 41 e 42 (29 nov. e 1 dez.)
:* Máquina de vender doces
+
;Exemplo de FSM temporizada - semáforo temporizado:
::*Use o template da FSM para modelar o sistema mostrado na figurar a seguir.
+
* Usando o template acima descreva em VHDL a FSM de um controlador de semáforo Regular indicado pela FSM da figura abaixo:
 +
{{fig|7.10| Diagrama de estados do controlador de semáforo |Semaforo_FSM_v2.png|800 px|}}
  
{{fig|7.2|FSM - Máquina de vender doces (diagrama de estados)|FSM_MVD.png|800 px|Adaptado da Figura 11.3 de <ref name="PEDRONI2010b"/> }}
+
{{fig|7.11| Simulação do controlador de semáforo no Modelsim |Semaforo_FSM_v2_ModelSim.png|800 px|}}
 +
<!--
 +
{{collapse top| bg=lightyellow | Exercício - Semaforo}}
 +
* Usando o template acima descreva em VHDL a FSM de um controlador de semáforo Regular da Figura 11.15 (pag. 304 de <ref name="PEDRONI2010b"/>).
 +
{{fig|7.12| Diagrama de estados do controlador de semáforo |Semaforo_FSM_VD.png|800 px| Adaptado de Figura 11.15 de <ref name="PEDRONI2010b"/>}}
  
{{fig|7.3|FSM - Máquina de vender doces (diagrama de estados com default |FSM_MVD_default.png|800 px|}}
+
{{fig|7.13| Diagrama de estados do Quartus do controlador de semáforo |Semaforo_FSM.png|800 px|}}
  
::*Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.
+
* Para definir as temporizações utilize GENERICs que permitam instanciar diferentes semáforos em um projeto de controle de tráfego de uma avenida.
{{fig|7.4|FSM - Máquina de vender doces (simulação)|FSM_tb40.png|800 px|}}
+
: Para uma instância do semáforo S1 use (timeRY = 2 segundos; timeGR = 40 segundos; timeYR = 2 segundos; timeRG = 15 segundos.
 +
: Para uma instância do semáforo S2 use (timeRY = 5 segundos; timeGR = 90 segundos; timeYR = 5 segundos; timeRG = 30 segundos.
 +
* Considere que o sinal de clock tem período de 1 segundo.
 +
{{collapse bottom}}
  
:* Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
+
* Realize a simulação dos semáforos S1 e S2, destacando as saídas com as cores correspondentes, conforme mostrado abaixo:
:: Ver pag. 281 a 282 de <ref name="PEDRONI2010b"/>
+
{{fig|7.14| Simulação do controlador de semáforo no Modelsim |Semaforo_ModelSim.png|800 px|}}
:: '''Dica''': para desenhar a FSM recomendo utilizar um software on-line para tal finalidade.  Por Ex.  [https://online.visual-paradigm.com/pt/ Visual Paradigm].
+
-->
<!--
+
 
; Aula 41  (02 set):
+
Se quiser usar o ModelSim diretamente sem usar o Quartus, abra um terminal e digite:
*Avaliação A2.
+
  /opt/intelFPGA/20.1/modelsim_ae/linuxaloem/vsim (ou o caminho de instalação na sua maquina)
  
; Aula 42 (09 set):
+
;Encontro 43 (5 dez.)
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
+
*Avaliação A2
:* O problema de oscilando entre os estados em FSM.
 
  
{{fig|7.5| FSM - alarme (oscilando entre os estados quando remoto é 1)  |alarme_erro.png|500 px|Adaptado de Figura 11.9(a) de <ref name="PEDRONI2010b"/>}}
+
{{collapse bottom}}
  
::* Solução através do uso de FLAG
+
===Unidade 8 - Testbench===
 +
* 2 ENCONTROS
 +
{{collapse top| expand = true | Unidade 8 - Testbench}}
 +
; Encontro 43  (8 dez.):
 +
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
 +
:*Tipos de simulação:
 +
::1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
 +
::2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
 +
::3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída  - OK já visto
 +
::4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
 +
::5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
 +
::6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
 +
::7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
 +
::8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
  
{{fig|7.6| FSM - alarme (solução com flag) |alarme_flag.png|500 px|Adaptado de Figura 11.9(b) de <ref name="PEDRONI2010b"/>}}
+
;Geração automática do modelo do testbench em VHDL:
 +
Usaremos como exemplo o semáforo recém projetado para criar um testbench em VHDL para testar uma '''entity''' projetada em VHDL.
 +
* O primeiro passo é abrir o projeto, que foi anteriormente simulado com um arquivo de script .do para criar agora uma simulação usando um testbench em VHDL.
 +
* Esse processo pode ser feita manualmente, mas usaremos o comando do Quartus que permite gerar um modelo para o testbench. Selecione a '''entity''' TOP LEVEL e após fazer a '''Analysis & Synthesis''' selecione '''Processing > Start > Start Test Bench Template Writer'''.
 +
* Neste passo deverá será gerado um arquivo '''<nome>.vht''' dentro da pasta '''simulation/modelsim'''.
 +
* Abra o '''<nome>.vht'''arquivo, e inclua ele nos arquivos do projeto.
 +
<blockquote style="background: lightblue; border: 3px solid black; padding: 1em;">
 +
;ATENÇÃO: 
 +
Se ao invés de gerar um arquivo <nome>.vht (VDHL), for gerado o arquivo <nome>.vt (Verilog), isso indica que ao definir o projeto, não foi escolhido a linguagem correta para simulação. preste atenção na mensagem:
 +
<pre>
 +
Info (201000): Generated Verilog Test Bench File <caminho>/simulation/modelsim/<nome>.vt for simulation
 +
</pre>
 +
Para corrigir, selecione  o VHDL, '''Assignments > Settings > EDA Tool Settings > {Tool Type = Simulation & Tool Name = ModelSim-Altera & Format(s) = <big>[VHDL]</big>} > [OK]'''
  
::* Solução através do uso de estados de WAIT adicionais.
+
Depois disso repita o processo para gerar o template (modelo) e verifique se a mensagem mostrada é:
 +
<pre>
 +
Info (201002): Generated VHDL Test Bench File <caminho>/simulation/modelsim/<nome>.vht for simulation
 +
</pre>
 +
</blockquote>
  
{{fig|7.7| FSM - alarme (solução com estados de WAIT) |alarme_wait.png|500 px|Adaptado de Figura 11.9(c) de <ref name="PEDRONI2010b"/>}}
 
  
{{fig|7.8| Simulação da FSM - alarme (solução com estados de WAIT) |simulation_alarme_wait.png|800 px|}}
+
<syntaxhighlight lang=vhdl>
 +
LIBRARY ieee;                                             
 +
USE ieee.std_logic_1164.all;                               
  
:: Ver pag. 292 a 297 de <ref name="PEDRONI2010b"/>
+
ENTITY semaforo_vhd_tst IS
*Exercício: Faça o download do arquivo simple_car_alarm.qar, disponível no Moodle da disciplina e realize as seguintes avaliações:
+
END semaforo_vhd_tst;
:#Perceba que existem 4 versões para o mesmo Alarme de Carro.  Realize a simulação no ModelSim das 4 versões e perceba qual é a diferença de funcionamento desses circuitos.
+
ARCHITECTURE semaforo_arch OF semaforo_vhd_tst IS
:#Anote o número de elementos em cada versão.
+
-- constants                                               
:#Qual versão é a mais adequada na sua opinião?
+
-- signals                                                 
:#Modifique a sua escolha para que o alarme não possa ser ativado se o "sensor" estiver em "1".
+
SIGNAL ativar : STD_LOGIC;
:#Analise o arquivo tb_vX.do e modifique-o para testar também essa nova condição. A simulação deve obrigatoriamente fazer o sistema passar por todos os estados e todas as transições.
+
SIGNAL clk : STD_LOGIC;
:#Implemente a nova versão como uma nova arquitetura "fsm_v5", e escrever o arquivo de simulação "tbv5.do".
+
SIGNAL lam1 : STD_LOGIC;
:#Salve as telas da simulação ("v5_sim.png"), tela da fsm ("v5_fsm.png"), tela do RTL ("v5_rtl.png").
+
SIGNAL lam2 : STD_LOGIC;
:#Acrescente os novos arquivos no projeto e salve o novo .qar
+
SIGNAL lvd1 : STD_LOGIC;
-->
+
SIGNAL lvd2 : STD_LOGIC;
; Aula 42  (15 mar):
+
SIGNAL lvm1 : STD_LOGIC;
* Projeto de FSM temporizadas (nas quais as transições são ativadas também pelo tempo).
+
SIGNAL lvm2 : STD_LOGIC;
{{fig|7.9| Tipos de FSM (Condicional, Temporizada e Hibrida) |FSM_type.png|800 px|Figura 11.12 de <ref name="PEDRONI2010b"/>}}
+
SIGNAL rst : STD_LOGIC;
 +
COMPONENT semaforo
 +
PORT (
 +
ativar : IN STD_LOGIC;
 +
clk : IN STD_LOGIC;
 +
lam1 : OUT STD_LOGIC;
 +
lam2 : OUT STD_LOGIC;
 +
lvd1 : OUT STD_LOGIC;
 +
lvd2 : OUT STD_LOGIC;
 +
lvm1 : OUT STD_LOGIC;
 +
lvm2 : OUT STD_LOGIC;
 +
rst : IN STD_LOGIC
 +
);
 +
END COMPONENT;
 +
BEGIN
 +
i1 : semaforo
 +
PORT MAP (
 +
-- list connections between master ports and signals
 +
ativar => ativar,
 +
clk => clk,
 +
lam1 => lam1,
 +
lam2 => lam2,
 +
lvd1 => lvd1,
 +
lvd2 => lvd2,
 +
lvm1 => lvm1,
 +
lvm2 => lvm2,
 +
rst => rst
 +
);
 +
init : PROCESS                                             
 +
-- variable declarations                                   
 +
BEGIN                                                       
 +
        -- code that executes only once                     
 +
WAIT;                                                     
 +
END PROCESS init;                                          
 +
always : PROCESS                                             
 +
-- optional sensitivity list                                 
 +
-- (       )                                                
 +
-- variable declarations                                     
 +
BEGIN                                                       
 +
        -- code executes for every event on sensitivity list 
 +
WAIT;                                                       
 +
END PROCESS always;                                         
 +
END semaforo_arch;
 +
</syntaxhighlight>
  
* Modelo de FSM temporizada
+
*Note que a '''entity''' desse testbench não possui nem parâmetros genéricos ('''generic''') nem portas de entrada ou saída ('''port'''), pois o objetivo dela é encapsular o dispositivo sob testes (''Device Under Test'' ('''DUT''')), no caso a '''entity semaforo'''. 
 +
* Esse testbench será usado para gerar os estímulos necessários para a simulação desse DUT, o qual já está declarado e instanciado como um componente dentro da '''entity semaforo_vhd_tst'''.  No template gerado, também são gerados sinais ('''signal''') para conectar a cada uma das portas de entrada e saída do DUT.
 +
*Logo após da instanciação do DUT, estão dois esboços de '''process''', os quais são usados para realizar a simulação, conforme indicado a seguir.
 +
*Usaremos como base para criar os estímulos o script '''tb_semaforo.do'''
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
LIBRARY ieee;
+
vcom -93 -work work {../../semaforo.vhd}
USE ieee.std_logic_1164.ALL;
+
vsim work.semaforo
----------------------------------------------------------
+
do wave_curto.do
ENTITY < entity_name > IS
+
force -freeze sim:/semaforo/rst 1 0, 0 10
PORT (
+
force -freeze sim:/semaforo/clk 1 0, 0 {0.5sec} -r 1sec
clk, rst : IN STD_LOGIC;
+
force -freeze sim:/semaforo/ativar 0 0, 1 10.3sec, 0 55.5sec, 1 70sec, 0 106.22sec, 1 120sec
input : IN < data_type > ;
+
run 150sec
output : OUT < data_type >);
+
</syntaxhighlight>
END entity;
+
 
----------------------------------------------------------
+
* Exemplos de criação de sinais para testbench em VHDL
ARCHITECTURE < architecture_name > OF < entity_name > IS
+
 
TYPE state IS (A, B, C, ...);
+
;Geração de sinal de clock:
SIGNAL pr_state, nx_state : state;
+
<syntaxhighlight lang=vhdl>
        signal timer: integer range 0 to MAX;
+
-- DECLARAR
-- ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
+
constant tclk: time := 1 ns;
-- ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
+
signal clk  : std_logic := '0';
BEGIN
+
 
------Logica Sequencial da FSM:------------
+
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
PROCESS (clk, rst)
+
clk <= not clk after tclk;
variable count: integer range o to MAX;
+
 
BEGIN
+
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
IF (rst = '1') THEN
+
PROCESS                                             
pr_state <= A;
+
BEGIN 
count := 0;
+
  clk <= '1';
ELSIF (clk'EVENT AND clk = '1') THEN
+
  wait for tclk;
count := count + 1;
+
  clk <= '0';
if (count >= timer) then
+
  wait for tclk;
pr_state <= nx_state;
+
END PROCESS;
count := 0;
+
</syntaxhighlight>
end if;
+
 
END IF;
+
;Geração de sinal de reset:
END PROCESS;
+
<syntaxhighlight lang=vhdl>
------Logica Combinacional da FSM:------------
+
-- DECLARAR
PROCESS (pr_state, input)
+
constant treset: time := 100 ps;
BEGIN
+
signal reset  : std_logic;
                ------Valores default das saidas------------
+
 
                output <= < value >;
+
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
                ------Valores default do timer------------
+
reset <= '1', '0' after treset;
timer <= <value>;
+
 
CASE pr_state IS
+
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
WHEN A =>
+
PROCESS                                             
output <= < value > ;  -- apenas se diferente do valor default
+
BEGIN
IF (input =< value >) THEN
+
  reset <= '1';
timer <= <value>; -- apenas se diferente do valor default
+
  wait for treset;
nx_state <= B;
+
  reset <= '0';
...
+
  wait;
ELSE
+
END PROCESS;     
timer <= <value>; -- apenas se diferente do valor default
+
</syntaxhighlight>
nx_state <= A;
+
 
END IF;
+
;Geração de uma sequência binária:
WHEN B =>
+
<syntaxhighlight lang=vhdl>
output <= < value > ; -- apenas se diferente do valor default
+
-- DECLARAR
IF (input =< value >) THEN
+
constant t_a: time := 100 ps;
timer <= <value>; -- apenas se diferente do valor default
+
constant Nbits: natural := 8;
nx_state <= C;
+
signal a  : std_logic_vector(Nbits-1 downto 0);
...
+
 
ELSE
+
-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
timer <= <value>; -- apenas se diferente do valor default
+
PROCESS                                             
nx_state <= B;
+
BEGIN 
END IF;
+
for i in 0 to 2**Nbits-1 loop
WHEN ...
+
a <= std_logic_vector(to_unsigned(i,Nbits));
END CASE;
+
wait for t_a;
END PROCESS;
+
end loop;
------Seção de Saída (opcional):-------
+
END PROCESS;
PROCESS (clk, rst)
+
</syntaxhighlight>
BEGIN
+
 
IF (rst = '1') THEN
+
;Geração de uma sequência pseudoaleatória:
new_output <= < value > ;
+
No exemplo abaixo é utilizado um tipo de [https://en.wikipedia.org/wiki/Linear-feedback_shift_register Linear-feedback shift register]
ELSIF (clk'EVENT AND clk = '1') THEN --or clk='0'
+
<syntaxhighlight lang=vhdl>
new_output <= output;
+
-- DECLARAR
END IF;
+
constant t_a: time := 100 ps;
END PROCESS;
+
constant Nbits: natural := 8;
END architecture;
+
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');
</syntaxhighlight>
+
 
:Ver pag. 298 a 301 de <ref name="PEDRONI2010b"/>
+
-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
 +
-- USANDO UM CONTADOR LFSR
 +
PROCESS                                            
 +
BEGIN
 +
for i in 0 to 2**Nbits-1 loop
 +
a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1); -- para 8 bits
 +
wait for t_a;
 +
end loop;
 +
END PROCESS;
 +
</syntaxhighlight>
 +
 
 +
* Após a criação do testbench, o projeto terá os arquivos '''semaforo.vhd''' e, '''semaforo.vht''', os quais serão utilizados no Modelsim para realizar a simulação.
 +
* Abra o ModelSim a partir do Quartus  '''Tools > Run Simulation Tool > RTL Simulation'''.
 +
* Na pasta '''work''', deverão estar compiladas todas as entities do projeto, mas falta compilar o entity do testbench. Para compilar, o modo mais simples sem construir um projeto no ModelSim é:  '''Compile > Compile > [File name = <nome>.vht] > [Compile] > [Done]'''.
 +
* Após compilar o test_bench deverá estar na pasta '''work''' a nova entidade com o test_bench '''<nome>_vhd_tst''', a qual será usada na simulação.
 +
* Escolha essa entidade para a simulação, e em seguida adicione os sinais que desejar da aba '''Objects''' na aba '''Wave'''. Se desejar adione outros sinais internos abrindo os componentes que compõe o projeto.
 +
* Como a geração dos estimulos (sinais) será feita pelo testbench em VHDL, agora basta executar o comando '''run TEMPO''' (onde TEMPO = 1 sec | 100 [ps]| 10 ns) ou '''run -all''' (caso haja um tempo limite estabelecido no test_bench).
 +
* Para facilitar a simulação no Modelsim recomenda-se criar também os arquivos de script '''tb_semaforo.do''', ou pelo menos criar o arquivo wave_vht.do para definir os sinais a serem mostrados e sua formatação. Note que no exemplo abaixo a compilação do <file_top_level>.vht é feita na pasta atual, pois ele é originalmente criado na pasta modelsim/simulation.
 +
 
 +
<syntaxhighlight lang=tcl>
 +
vlib rtl_work
 +
vmap work rtl_work
 +
vcom -93 -work work {../../<file1>.vhd}
 +
vcom -93 -work work {../../<file1>.vhd}
 +
vcom -93 -work work {../../<file_top_level>.vhd}
 +
vcom -93 -work work {<file_top_level>.vht}
 +
vsim work.q2_vhd_tst
 +
do wave_vht.do
 +
run 110 ns
 +
</syntaxhighlight>
 +
 
 +
Se quiser usar o ModelSim diretamente sem usar o Quartus, abra um terminal e digite:
 +
/opt/intelFPGA/20.1/modelsim_ae/linuxaloem/vsim (ou o caminho de instalação na sua maquina)
 +
{{collapse bottom}}
 +
 
 +
===Unidade 9 - Projeto Final===
 +
* 3 ENCONTROS
 +
{{collapse top| expand = true | Unidade 9 - Projeto Final}}
 +
;Aula 44 a 47 (12 a 19 dez):
 +
 
 +
;Projeto de Modernização Semafórica para a Grande Florianópolis - Soluções Inovadoras para o Tráfego Eficiente e Seguro:
 +
O presente projeto busca por soluções semafóricas inovadoras, incluindo aprimoramentos específicos para o período noturno e economia de energia. O objetivo é proporcionar uma experiência mais segura e visível para veículos e pedestres ao cruzar vias durante o dia e a noite.
 +
Como material auxiliar se sugere a leitura dinâmica do [https://www.gov.br/transportes/pt-br/assuntos/transito/arquivos-senatran/docs/Sinalizacao_semaforicavol_V_alterado.pdf Manual Brasileiro de Sinalização de trânsito: VOLUME V - Sinalização Semafórica] do CONTRAN.
 +
*Para auxilio em nomenclatura e representações gráficas veja também [https://ecivilufes.files.wordpress.com/2013/07/aula9.pdf Sinalização semafórica: definições] - Universidade Presbiteriana Mackenzie
 +
 
 +
O projeto será desenvolvido por equipes de até 2 estudantes, e cada equipe deverá escolher uma dos cenários propostos, ou até mesmo um cenário diferenciado desses.  Cada projeto deverá envolver obrigatoriamente:
 +
 
 +
* Uso de um conjunto de mostradores de 7 segmentos de dois ou mais dígitos.
 +
* Uso de leds para indicar mostrar os semáforos.  O uso das GPIOs com circuitos de LEDs de cores verde, vermelho e amarelo é encorajado. 
 +
* A equipe poderá utilizar ambos kits disponibilizados no laboratório
 +
* Usar as chaves para simular os sensores e botoeiras (sinais de entrada).
 +
* Uma ou mais máquinas de estados finitos
 +
* Deverá ser usado um projeto hierárquico, onde a entidade top level deverá apenas ter a instanciação de componentes, e eventuais adaptações ao hardware do kit.
 +
* Todos os componentes e o sistema completo devem ser testados através de simulação no Modelsim.
 +
* Os testes reais do sistema completo no kit devem ser filmados para demonstrar o funcionamento.
 +
* Fazer uma análise das vantagens e deficiencias da solução proposta.
 +
 
 +
;Cenário 1 - Cruzamento de Via Preferencial com Via Secundária (Sensor de Veículo com Sinalização Piscante):
 +
 
 +
Nessa situação, a via secundária será liberada mediante a detecção de um veículo na área do sensor. A modernização incluirá a instalação de sensores na via secundária. Este sistema proporcionará uma abertura segura e eficiente quando necessário, ao mesmo tempo em que promove uma comunicação clara aos motoristas. A sinalização verde na via preferencial será configurada para piscar, alertando os condutores que o semáforo está sob controle do sensor de veículo na via secundária. Da mesma forma, na via secundária, o sinal ficará piscante em vermelho sempre que não houver veículo detectado pelo sensor. Ao ser identificado um veículo, o semáforo da via secundária mudará para vermelho, ao mesmo tempo que um contador regressivo indicará o tempo faltante para a abertura. Após o tempo mínimo programado para a via preferencial permanecer aberta, o semáforo da via secundária mudará para verde, indicando no contador regressivo o tempo restante de verde. Esse ajuste visa otimizar o fluxo de tráfego, garantindo a fluidez na via preferencial enquanto mantém a segurança na via secundária. A sinalização piscante proporcionará uma comunicação visual eficaz, informando os motoristas sobre o modo de operação baseado no sensor de veículo, contribuindo para uma navegação segura e eficiente no cruzamento entre a via preferencial e a secundária.
 +
 
 +
;Cenário 2 - Travessia Controlada por Botoeira com Sinalização Noturna, Sinalização Piscante, Avisos Sonoros:
 +
 
 +
Visa garantir uma travessia de pedestres diurna e noturna segura e consciente. Ao acionar a botoeira, será ativada uma iluminação branca sobre a faixa de passagem zebrada e nas áreas de espera dos pedestres, assegurando melhor visibilidade e segurança para o pedestre a noite. Simultaneamente, o semáforo emitirá sinais visuais e sonoros, indicando ao pedestre que o botão foi acionado com sucesso e alertando motoristas sobre a intenção de travessia. Durante a fase de liberação para veículos, o semáforo do pedestre permanecerá vermelho, economizando energia até que o botão seja acionado. Após a solicitação, os grupos focais do pedestre exibirão luz verde em ambos os lados da via, enquanto o semáforo dos carros exibirá sinal vermelho, garantindo a máxima segurança para os pedestres e reforçando a prioridade de travessia.
 +
 
 +
Para orientar pedestres de maneira eficaz, o semáforo do pedestre apresentará um contador regressivo, indicando o tempo restante para a travessia. O tempo total de travessia será ajustável, permitindo personalização conforme as necessidades locais. Nos últimos 30% do tempo, o sinal verde do semáforo do pedestre piscará, visualmente alertando que o tempo para a travessia está se encerrando. É importante ressaltar que a iluminação estará ativa apenas durante o tempo em que a botoeira foi acionada até 5 segundos após o término do tempo de travessia. Este ajuste visa otimizar o consumo de energia e garantir que a iluminação cumpra sua função apenas quando necessária.
 +
 
 +
;Cenário 3 - Sinalização Semafórica para Cruzamento com Passagem de Pedestres Controlada por Botoeira e Sincronizada com Vias Veiculares:
 +
 
 +
Este projeto visa apresentar uma solução para um cruzamento de vias, com a passagem de pedestres controlada por botoeira, integrada de maneira sincronizada com o fluxo de veículos. Abaixo, detalhamos as características tanto para pedestres quanto para carros.
 +
Fase Inicial: Os semáforos veiculares iniciam em sinal amarelo piscante em ambas as vias. O semáforo para pedestres permanece apagado até a ativação da botoeira, visando a economia de energia. apenas um led vermelho no centro da botoeira deve estar piscando para induzir o pedestre a acionar a boteira.  Uma placa sobre a botoeira informa que é necessário acionar o botão para solicitar a passagem.
 +
Funcionamento no modo veicular sem solicitação de pedestre:  O cruzamento deve alternar entre vermelho, amarelo e verde conforme programação para otimizar o fluxo de carros. O ciclo de passagem de pedestre deve ser iniciado se alguma das 4 boteiras existentes nos cantos do cruzamento for acionada.
 +
Solicitação de pedestre: Ao ser acionada uma das botoeiras dos Pedestres, se for a noite, será ativada a iluminação branca sobre a faixa de passagem zebrada e nas áreas de espera dos pedestres, assegurando melhor visibilidade e segurança para o pedestre a noite. Simultaneamente, o semáforo emitirá sinais sonoros, indicando ao pedestre que o botão foi acionado com sucesso e alertando motoristas sobre a intenção de travessia. Durante a fase de espera para dos pedestres, o semáforo do pedestre, que estava apagado economizando energia, acenderá em vermelho e mostrara um contador regresivo indicando o tempo faltante para a liberação da travessia. Na liberação, que é sincronizada com as vias, os grupos focais de pedestre exibirão luz verde em ambos os lados das faixas de pedestres, e indicará o tempo restante num contador regressivo. Enquanto isso, os semáforos dos carros exibirão sinal vermelho em todas as direções, garantindo a máxima segurança para os pedestres. Nos últimos 30% do tempo, sinal verde para pedestres piscará, alertando visualmente sobre término iminente da travessia. Ao término do tempo de travessia configurado, semáforo para pedestres entra em vermelho piscante por 5 segundos, indicando retorno ao modo veicular. Se botoeira for acionada novamente, inicia-se novo ciclo, sincronizando travessia de pedestres com o fluxo veicular.
 +
 
 +
Essa sinalização semafórica integrada visa proporcionar uma travessia segura e eficiente para pedestres, ao mesmo tempo em que otimiza o fluxo veicular, contribuindo para um ambiente de tráfego mais fluido e seguro no cruzamento das vias de carros.
 +
 
 +
;BONUS:
 +
* 2 pontos na Avaliação A1 ou A2:  implementar uma melhoria no semáforo fazendo ele progressivo, com 6 lampadas verdes e 6 vermelhas no Cenário 1, 2 ou 3
 +
* 1 pontos na Avaliação A1 ou A2:  implementar uma melhoria para liberar o transito para ambulância que esteja atendendo a uma emergência no Cenário 1, 2 ou 3.  
 +
Para saber as especificações exatas dessas características pergunte ao professor.  
 +
 
 +
Após a conclusão do projeto, a equipe deverá gravar um vídeo explicando o projeto usando o hardware projetado.  Também deve ser  feita a defesa por cada membro da equipe do projeto, devendo estar apto a responder sobre o código e também sobre o processo e metodologia.
 +
 
 +
{{collapse bottom}}
 +
 
 +
==Avaliações==
 +
Nas avaliações A1 e A2 vocês poderão consultar apenas as folhas entregues: 
 +
<!--
 +
*[[Media:VHDL_QRC-Qualis.pdf | VHDL QUICK REFERENCE CARD]] - Qualis
 +
*[[Media:VHDL1164_QRC-Qualis.pdf | VHDL 1164 PACKAGES QUICK REFERENCE CARD]] Qualis
 +
-->
 +
*[[Media:VHDL_QRC-SynthWorks.pdf | VHDL Quick Reference]] - SynthWorks
 +
*[[Media:VHDL_Type_Operators_QR-SynthWorks.pdf | VHDL Types and Operators Quick Reference]] - SynthWorks
 +
*[[Media:ModelSim_QR-SynthWorks.pdf |ModelSim Quick Reference]] - SynthWorks
 +
*[[Media:Tabelas_Pedroni.pdf | Tabelas das figuras 3.6, 3.10 e 4.1]] do livro do Pedroni.
 +
*[[Arquivo:Numeric_std_conversions.png | Diagrama de conversões de tipos numéricos no pacote Numeric_std ]] 
 +
::Dica use também como fonte de consulta os '''templates''' do Quartus.
 +
::Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
 +
;Data das avaliações:
 +
*A1 - Unidade 1 a Unidade 4: dia XX/XX
 +
*A2 - Unidade 5 a Unidade 7: dia XX/XX
 +
*PF - Entrega do projeto final: dia 15/12
 +
*R12 - Recuperação de A1 e A2 : dia 12/12
 +
 
 +
<!--
 +
===Projeto Final (PF)===
 +
* O projeto final é uma atividade de avaliação desenvolvida em equipe (ou individual), e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre.  A avaliação do projeto final corresponde a no mínimo 25% do peso no conceito final. São avaliados no projeto final os quesitos:
 +
# Sistema desenvolvido (projeto, simulação e realização, demostração do harware);  
 +
# Relatório com a documentação completa do projeto;  
 +
# A avaliação individual do aluno durante o desenvolvimento do projeto e/ou entrevista (avaliação oral).
 +
 
 +
{{collapse top | expand = true | PF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)}}
 +
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono.
 +
* A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos. 
 +
 
 +
[[Arquivo:APF_DLP29006_2017_2_Serial_Assincrono.png | 600 px]]
  
;Exemplo de FSM temporizada - semáforo temporizado:
+
'''Figura 1. Sistema de Comunicação Serial Assíncrono com Endereçamento de A para B'''
* Usando o template acima descreva em VHDL a FSM de um controlador de semáforo Regular indicado pela FSM da figura abaixo:
 
{{fig|7.10| Diagrama de estados do controlador de semáforo |Semaforo_FSM_v2.png|800 px|}}
 
  
{{fig|7.11| Simulação do controlador de semáforo no Modelsim |Semaforo_FSM_v2_ModelSim.png|800 px|}}
 
  
 +
{{fig|1|Interface transmissora serial | APF_DLP29006_2017_2_UART_TX.png| 800 px |}}
  
{{collapse top| bg=lightyellow |  Exercício - Semaforo}}
+
{{fig|2|Interface receptora serial | APF_DLP29006_2017_2_UART_RX.png| 1200 px |}}
* Usando o template acima descreva em VHDL a FSM de um controlador de semáforo Regular da Figura 11.15 (pag. 304 de <ref name="PEDRONI2010b"/>).
 
{{fig|7.12| Diagrama de estados do controlador de semáforo |Semaforo_FSM_VD.png|800 px| Adaptado de Figura 11.15 de <ref name="PEDRONI2010b"/>}}
 
  
{{fig|7.13| Diagrama de estados do Quartus do controlador de semáforo |Semaforo_FSM.png|800 px|}}
+
* A entrada DATA_IN deve ter apenas 8 bits e usar as chaves do kit como entrada de dados, e o valor deve ser mostrado em dois displays de sete segmentos em hexadecimal (0 a F)
 +
* A saída DATA_OUT deve ter apenas 8 bits usar os leds como saída de dados, e o valor também deve ser mostrado em dois displays de sete segmentos em hexadecimal (0 a F)
 +
* As taxa de transmissão deverão ser geradas a partir do clock principal '''CLK50MHz''' do kit a ser utilizado.
 +
* A seleção da taxa de transmissão será feita através das chaves '''SW_BAUD_TX'''[1..0] para o transmissor e das '''SW_BAUD_RX'''[1..0] para o receptor.
 +
:Por exemplo:
 +
::00 => 0.1 bps, 01 => 10 bps, 10 => 9600 bps, 11 => 100 kbps.
 +
* Na transmissão deverá ser enviado um bit paridade (par ou impar) de acordo com a chave seletora '''SW_PARITY'''.
 +
:: 0 => paridade par, 1 => paridade impar.
 +
*No receptor a saída '''RX_ERROR''' deve ser usada para indicar que houve erro na recepção do dado.
  
<!--
+
* O sistema no seu '''TOP LEVEL''' deverá ser constituído de um '''Conversor Paralelo Serial''', um '''Conversor Serial Paralelo''', dois '''Geradores de Baud Rate''', um '''Gerador de Paridade''', um '''Detector de Paridade''', um '''Circuito de Entrada de Dados''', e um '''Circuito de Saída de Dados'''.
  
* Para definir as temporizações utilize GENERICs que permitam instanciar diferentes semáforos em um projeto de controle de tráfego de uma avenida.
+
* O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentes.  Recomenda-se utilizar também componentes para a criação dos circuitos no segundo nível.  O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
: Para uma instância do semáforo S1 use (timeRY = 2 segundos; timeGR = 40 segundos; timeYR = 2 segundos; timeRG = 15 segundos.
+
 
: Para uma instância do semáforo S2 use (timeRY = 5 segundos; timeGR = 90 segundos; timeYR = 5 segundos; timeRG = 30 segundos.
+
* Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para um clock menor de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM.
* Considere que o sinal de clock tem período de 1 segundo.
+
 
* Realize a simulação dos semáforos S1 e S2, destacando as saídas com as cores correspondentes, conforme mostrado abaixo:
+
* O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 usando as chaves e leds disponíveis e os pinos da GPIO para acionar circuitos externos. (opcional)
{{fig|7.14| Simulação do controlador de semáforo no Modelsim |Semaforo_ModelSim.png|800 px|}}
+
 
 +
* O arquivo QAR do projeto, e os arquivos .do do MODELSIM  devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
 +
 
 +
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
 +
 
 +
* Para entender o sistema de transmissão recomenda-se consulte materiais complementares sobre [https://en.wikipedia.org/wiki/Asynchronous_serial_communication Comunicação serial assíncrona], [https://en.wikipedia.org/wiki/Parity_bit bit de paridade], [https://en.wikipedia.org/wiki/Universal_asynchronous_receiver-transmitter UART], [https://en.wikipedia.org/wiki/Bit_rate Taxa de bits].
 +
*Para o teste da transmissão serial pode ser utilizada a interface DB9 disponível nos computadores, em conjunto com o software minicom.
 +
:*[https://manpages.debian.org/testing/minicom/minicom.1.en.html minicom man]
 +
:*[http://processors.wiki.ti.com/index.php/Setting_up_Minicom_in_Ubuntu Instalação e configuração do minicom no linux]
 
{{collapse bottom}}
 
{{collapse bottom}}
<!--
 
;Aula 44 e 45 (13 e 14 set):
 
* Projeto Final - Controlador de semáforo progressivo usando FSM.  (escolha dos alunos)
 
* Bônus de 2 pontos para avaliação A1 ou A2 para implementação de uma melhoria para liberar o transito para ambulância atendendo emergência.
 
 
-->
 
-->
{{collapse bottom}}
 
  
==Avaliações==
+
== Atividade relâmpago (AR) ==
Nas avaliações A1 e A2 serão realizadas de forma presencial e vocês poderão consultar apenas as folhas entregues: 
+
As atividades relâmpago devem ser entregues no Moodle da disciplina. A não entrega dessas atividades não gera nenhum desconto, apenas geram pontos de BÔNUS que são adicionados aos conceitos das avaliações A1 a AN.
 
<!--
 
<!--
*[[Media:VHDL_QRC-Qualis.pdf | VHDL QUICK REFERENCE CARD]] - Qualis
+
===AR1 - Vagas de garagem (código concorrente) ===
*[[Media:VHDL1164_QRC-Qualis.pdf | VHDL 1164 PACKAGES QUICK REFERENCE CARD]] Qualis
+
{{collapse top | expand=true | bg=lightyellow | AR1 - Vagas de garagem (código concorrente)}}
-->
+
 
*[[Media:VHDL_QRC-SynthWorks.pdf | VHDL Quick Reference]] - SynthWorks
+
;Atividade:
*[[Media:VHDL_Type_Operators_QR-SynthWorks.pdf | VHDL Types and Operators Quick Reference]] - SynthWorks
+
 
*[[Media:ModelSim_QR-SynthWorks.pdf |ModelSim Quick Reference]] - SynthWorks
+
*'''Desafio 1''' - Fazer um circuito '''Detector_vagas''' que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada '''X(n)''' está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''Y''' estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga.  Use apenas portas lógicas.
*[[Media:Tabelas_Pedroni.pdf | Tabelas das figuras 3.6, 3.10 e 4.1]] do livro do Pedroni.
+
*'''Desafio 2''' - Fazer um circuito '''Contador_vagas''' que conte o número de vagas vazias em um lote de 9 vagas. A entrada '''X(n)'''está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída '''CNT''' deverá mostrar em binário sem sinal o número de vagas vazias (O valor de CNT poderá ser entre 0 e 9).
*[[Arquivo:Numeric_std_conversions.png | Diagrama de conversões de tipos numéricos no pacote Numeric_std ]] 
+
*'''Desafio 3''' - Fazer um circuito '''Detector_vagas''' usando ''don't care''.
::Dica use também como fonte de consulta os '''templates''' do Quartus.
+
*'''Desafio 4''' - Fazer um circuito '''Contador_vagas''' usando a sobrecarga do operador "+" para realizar a soma das vagas.
::Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
+
*'''Desafio 5''' - Fazer um circuito '''Contador_vagas''' usando um numero inteiro (1 para vaga, 0 para ocupado) nas entradas no lugar dos bits.
 +
;Resultados esperados:
 +
*Escolha 1 ou dois dos desafios acima e implemente eles em VHDL.
 +
*Faça a simulação com Modelsim do circuito para comprovar que o circuito está funcionando, e salve a imagem mostrando o resultado.
 +
*Salve a imagem do RTL Viewer
 +
*Gere o arquivo QAR do projeto, contendo todos os arquivos de projeto, incluindo os arquivos .do necessários para fazer a simulação com o simples comando
 +
tb_desafioX.do
 +
;Entregas para cada Desafio que desejar realizar:
 +
*Envie no Moodle o arquivo QAR do projeto projeto, as imagens da simulação (.png), e as imagens do RTL Viewer. Use nomes como desafioX_SIM.png, desafioX_RTL.png e desafioX.qar
 +
*No comentário da tarefa indique o número de elementos lógicos,  o máximo atraso de propagação  e o número de pinos usados.
 +
 
 +
;Bonificação:
 +
 
 +
Essa atividade conta como ponto adicional na próxima avaliação.  O critério de bonificação  nesta atividade é:
 +
*Bônus (0,3) - A(o) primeira(o) aluna(o)  que enviar o projeto do desafioX (X range 1 to 5).
 +
*Bônus (0,5) - A(o) primeira(o) aluna(o)  que enviar o projeto do desafioX (X range 1 to 5)  com o menor atraso de propagação.
 +
*Bônus (0,5) - A(o) primeira(o) aluna(o)  que enviar o projeto do desafioX (X range 1 to 5)  com o menor número de elementos lógicos.
 +
*Cada aluno só poderá receber no máximo 2 bônus nessa atividade, sendo um bonus por desafio.
 +
{{collapse bottom}}
 +
-->
  
== ATIVIDADE EXTRA-CLASSE (AE) ==
+
== Atividade extra-classe (AE) ==
A soma das atividades Extra-classe será correspondente a 25% do conceito final na disciplina. A entrega das mesmas será feita pelo Moodle da disciplinas, e cada dia de atraso irá descontar 0,2 na nota da atividade.  Muitas dessas atividades também possuem BÔNUS que são adicionados aos conceitos das avaliações A1 e A2.  Para os BÔNUS só serão considerados projetos entregues no prazo.
+
A média ponderada das atividades extra-classe será considerada no cálculo do conceito final da UC. A entrega das mesmas será feita pelo Moodle, e cada dia de atraso irá descontar 0,2 na nota da atividade.  Muitas dessas atividades também possuem BÔNUS que são adicionados aos conceitos das avaliações A1 e A2.  Para os BÔNUS só serão considerados projetos entregues no prazo.
  
 +
<!--
 
===AE1 - Palavras-cruzadas INTRODUÇÃO===
 
===AE1 - Palavras-cruzadas INTRODUÇÃO===
{{collapse top | bg=lightyellow | AE1 - Palavras-cruzadas INTRODUÇÃO}}
+
{{collapse top | bg=lightyellow | AE1 - Palavras-cruzadas INTRODUÇÃO}}
;BÔNUS:
 
*0,3 pontos na avaliação A1 -
 
*0,2 pontos na avaliação A1 -
 
*0,1 pontos na avaliação A1 -
 
  
 
{{collapse bottom}}
 
{{collapse bottom}}
 +
-->
  
 
===AE2 -  Conhecendo os dispositivos lógicos programáveis===
 
===AE2 -  Conhecendo os dispositivos lógicos programáveis===
 
{{collapse top | bg=lightyellow | AE2 -  Conhecendo os dispositivos lógicos programáveis}}
 
{{collapse top | bg=lightyellow | AE2 -  Conhecendo os dispositivos lógicos programáveis}}
;Atividade:
+
;Objetivos:
*Realize a atividade descrita em [[Conhecendo os dispositivos lógicos programáveis]]
+
*Conhecer o Quartus Prime e as características dos dispositivos lógicos programáveis
:*Ao escolher a família de FPGAS, escolha inicialmente um dispositivo da família Cyclone II.
+
*Analisar os tempos de propagação em um circuito combinacional
:*Capture as telas solicitadas e depois utilize-as em um relatório da atividade.
+
*Alterar configurações do compilador
:*Anote o tempo utilizado para cada uma das etapas do processo de compilação
+
*Fazer a simulação funcional e temporal de um circuito combinacional.
 +
 
 +
;Atividades:
 +
*'''PASSO 1:''' Realize a atividade descrita em [[Conhecendo os dispositivos lógicos programáveis - QUARTUS PRIME]]
 +
:*Ao escolher a família de FPGAS, escolha inicialmente um dispositivo da família '''Max II'''. Anote o código desse dispositivo.
 +
:*Capture as telas solicitadas e depois utilize-as no relatório da atividade.
 +
:*Anote o tempo utilizado para cada uma das etapas do processo de compilação.
 
:*Anote o número de elementos lógicos utilizados e o número de pinos utilizados, bem com o percentual em relação ao número total do dispositivo.
 
:*Anote o número de elementos lógicos utilizados e o número de pinos utilizados, bem com o percentual em relação ao número total do dispositivo.
 
:*Anote algum erro ('''Error''') ou alertas ('''Warnings''') que o Quartus II indicar no painel de mensagens '''[Messages]'''
 
:*Anote algum erro ('''Error''') ou alertas ('''Warnings''') que o Quartus II indicar no painel de mensagens '''[Messages]'''
 
:*Ao final salve o projeto em um arquivo QAR (sugestão PJ1.QAR)
 
:*Ao final salve o projeto em um arquivo QAR (sugestão PJ1.QAR)
*Em seguida escolha um dos seguintes dispositivos e repita todo o procedimento:
 
#Modifique a família para '''Cyclone IV E''' e use um dispositivo para EP4CE****, e observe as mudanças que ocorre tanto no tipo de Elemento Lógico, no Chip Planner, e no Pin Planner, e no circuito dos pinos de I/O.  Note que este FPGA também apresenta novos componentes, tais como: Memória, Multiplicadores, PLLs. Verifique se consegue encontra-los no leiaute mostrado no Chip Planner,  e documento o que encontrar.
 
#Modifique a família para '''Stratix II GX''' e use o dispositivo para EP2SGX****, e observe as mudanças que ocorre tanto no tipo de Elemento Lógico, no Chip Planner, e no Pin Planner, e no circuito dos pinos de I/O. Note que este FPGA também apresenta novos componentes, tais como: Memória, Elementos DSP, PLL, DLL. Verifique se consegue encontra-los no leiaute mostrado no Chip Planner, e documento o que encontrar. 
 
*Procure comparar os resultados obtidos nos dois procedimentos.
 
  
;Entregas:
+
*'''PASSO 2''': Repita a atividade descrita em [[Conhecendo os dispositivos lógicos programáveis - QUARTUS PRIME]], trocando a família e dispositivo a ser usado na implementação.  Escolha nesta vez um dispositivos da família '''Cyclone IV E'''  ou  '''Stratix II GX'''. Anote o código desse dispositivo.
#Envie dois arquivos QAR contendo todos os arquivos necessário para compilar os dois projetos.
+
:*Observe as mudanças que ocorrem tanto no tipo de Elemento Lógico disponível, no Chip Planner, no Pin Planner, e no circuito dos pinos de I/O.  Note que estes FPGAs também apresenta novos componentes, tais como: Memória, Multiplicadores, DSP, PLL, DLL, etc. Verifique se consegue encontra-los no leiaute mostrado no Chip Planner,  e documente aqueles que encontrar.
#Envie um relatório em PDF, incluindo as imagens capturadas (inclua legenda em cada figura) e escreva para cada imagem um texto comentando o que representa.  O relatório também deve ter a identificação (autor, título, data) uma breve introdução e uma conclusão.  A descrição dos procedimentos feita na página wiki não precisa incluída no relatório.
+
:*Compare os resultados obtidos nos procedimentos do PASSO 1 e PASSO 2.
 +
 
 +
*'''PASSO 3''': Realize o procedimento descrito em [[Medição de tempos de propagação em circuitos combinacionais - Quartus Prime]]
 +
:*Ao escolher a família de FPGAS, escolha um dispositivo FPGA da família '''Cyclone IV E'''. Anote o código desse dispositivo.
 +
:*Capture as telas mostradas no roteiro e depois utilize-as no relatório da atividade.
 +
:*Anote o máximo tempo de propagação entre entrada e saída.
 +
:*Anote o número de elementos lógicos utilizados e o número de pinos utilizados, bem com o percentual em relação ao número total do dispositivo.
 +
:*Experimente modificar as configurações do compilador, conforme mostrado em '''Configurando o compilador'''.  Se desejar mude a semente inicial trocando o valor de '''[Seed: 1]'''
 +
:*Experimente inserir diferentes restrições de atraso máximo para o compilador, e analise o resultado obtido.
 +
:*Anote algum erro ('''Error''') ou alertas ('''Warnings''') que o Quartus II indicar no painel de mensagens '''[Messages]'''
 +
:*Ao final salve o projeto em um arquivo QAR (sugestão PJ2.QAR)
 +
 
 +
*'''PASSO 4''': Realize a simulação funcional de um dos projetos '''CI74161''' ou do '''cálculo da distância de Hamming'''
 +
:*Capture as telas que mostram o circuito funcionando e depois utilize-as no relatório da atividade.
 +
 
 +
;Entregas:
 +
#Envie um arquivo QAR contendo todos os arquivos necessário para compilar cada um dos projetos.
 +
#Envie um relatório em PDF, incluindo as imagens capturadas (inclua um título para cada figura) e escreva para cada imagem um texto comentando o que representa.  O relatório também deve ter a identificação (autor, título, data) uma breve introdução e uma conclusão.  A descrição dos procedimentos feita na página wiki não precisa incluída no relatório.
 
#Use preferencialmente o [[Uso_do_Overleaf | Overleaf]] para gerar o relatório. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
 
#Use preferencialmente o [[Uso_do_Overleaf | Overleaf]] para gerar o relatório. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
 
#A entrega será feita através do Moodle da disciplina.  Observe o prazo de entrega.
 
#A entrega será feita através do Moodle da disciplina.  Observe o prazo de entrega.
 
{{collapse bottom}}
 
{{collapse bottom}}
  
===AE3 - SNCT 2021 | 5G e Conectividade com IoT===
+
===AE3 - Programação do kit Mercurio IV===
{{collapse top |bg=lightyellow | AE3 - SNCT 2021 | 5G e Conectividade com IoT}}
+
{{collapse top | bg=lightyellow | AE3 - Programação do kit Mercurio IV}}
Após assistir a palestra e debate do dia de hoje, seguem algumas perguntas que devem ser respondidas em um PDF.
 
#Cite os palestrantes do evento de hoje.
 
#Dentro da parte inicial apresentada pelo ''Fabrício Sgambati'', qual foi a parte que mais te impressionou?  Escreva umas 10 linhas sobre o tópico.
 
#Qual foi a tua avaliação sobre o evento de hoje?  Comente o que achou.
 
#Qual dos palestrantes trouxe a informação que mais te interessou?  Justifique.
 
{{collapse bottom}}
 
 
 
===AE4 - Programação do kit Mercurio IV===
 
{{collapse top |bg=lightyellow | AE4 - Programação do kit Mercurio IV}}
 
 
;Objetivos:
 
;Objetivos:
*Revisar o processo de programação do FPGA usando um kit de desenvolvimento
+
* Revisar o processo de programação do FPGA usando um kit de desenvolvimento
*Fazer as adaptações necessárias para o circuito funcionar no kit
+
* Fazer as adaptações necessárias para o circuito funcionar no kit
*Verificar e corrigir o problema do repique (bouncing) da chave usada no CLK
+
* Verificar se o contador proposto funciona, tanto carregando o valor inicial como na contagem progressiva.
 +
* Analisar o que ocorre em um contador quando atinge o seu valor máximo. 
 +
* Verificar e corrigir o problema do repique (bouncing) da chave usada no CLK
  
 
;Procedimento de laboratório:
 
;Procedimento de laboratório:
 
;Passo 1:
 
;Passo 1:
 
*Escrever o código do projeto counter (já simulado em aula anterior), incluindo as adaptações necessárias para o uso dos LEDs da matriz de leds do kit '''Mercurio IV'''.
 
*Escrever o código do projeto counter (já simulado em aula anterior), incluindo as adaptações necessárias para o uso dos LEDs da matriz de leds do kit '''Mercurio IV'''.
 +
*Fazer a análise e síntese e corrigir eventuais erros.
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
 +
library IEEE;
 +
use IEEE.std_logic_1164.all;
 +
use IEEE.numeric_std.all; 
 +
 
entity counter is
 
entity counter is
 
   generic (WIDTH : in natural := 4);
 
   generic (WIDTH : in natural := 4);
Linha 3 055: Linha 3 461:
 
     LOAD  : in std_logic;
 
     LOAD  : in std_logic;
 
     DATA  : in std_logic_vector(WIDTH-1 downto 0);
 
     DATA  : in std_logic_vector(WIDTH-1 downto 0);
     R0   : out std_logic
+
     R0   : out std_logic;
 
     Q    : out std_logic_vector(WIDTH-1 downto 0));
 
     Q    : out std_logic_vector(WIDTH-1 downto 0));
 
end entity;
 
end entity;
  
 
architecture ifsc_v1 of counter is
 
architecture ifsc_v1 of counter is
signal Q_aux : std_logic(WIDTH-1 downto 0);
+
signal Q_aux : std_logic_vector(WIDTH-1 downto 0);
 
begin
 
begin
 
   process(RST,CLK) is
 
   process(RST,CLK) is
Linha 3 082: Linha 3 488:
 
;Passo 2:
 
;Passo 2:
 
*[[Preparando para gravar o circuito lógico no FPGA]]   
 
*[[Preparando para gravar o circuito lógico no FPGA]]   
 +
:*Escolher a FAMILY: '''Cyclone® IV E'''
 
:* Escolher o DEVICE:  '''EP4CE30F23C7'''
 
:* Escolher o DEVICE:  '''EP4CE30F23C7'''
:* Usar como pinos de entrada e saída do FPGA os seguintes:
+
:* Configurar como entrada e saída do FPGA os seguintes pinos:
 
  CLK:    PIN_Y17 ou PIN_V21
 
  CLK:    PIN_Y17 ou PIN_V21
 
  DATA[3]: PIN_H18
 
  DATA[3]: PIN_H18
Linha 3 160: Linha 3 567:
  
 
;Passo 5:
 
;Passo 5:
*Repita os teste feitos no Passo 3, acionando as chaves e observando o resultado nos LEDs:
+
* Repita os teste feitos no Passo 3, acionando as chaves e observando o resultado nos LEDs:
 
:# Carregar um valor nas chaves '''DATA[3..0]''',  mudar '''LOAD''' para ALTO e acionar a chave '''CLK'''. Verificar e anotar o comportamento. Repetir com valores diferentes nas '''DATA[3..0]'''.
 
:# Carregar um valor nas chaves '''DATA[3..0]''',  mudar '''LOAD''' para ALTO e acionar a chave '''CLK'''. Verificar e anotar o comportamento. Repetir com valores diferentes nas '''DATA[3..0]'''.
 
:# Mudar '''RST''' para ALTO, e  verificar e anotar o comportamento.
 
:# Mudar '''RST''' para ALTO, e  verificar e anotar o comportamento.
 
:# Manter '''LOAD''' em BAIXO e acionar a chave '''CLK''' várias vezes (no mínimo 16 vezes). Verificar e anotar o comportamento.  O comportamento é o esperado para o número de mudanças da chave '''CLK'''?
 
:# Manter '''LOAD''' em BAIXO e acionar a chave '''CLK''' várias vezes (no mínimo 16 vezes). Verificar e anotar o comportamento.  O comportamento é o esperado para o número de mudanças da chave '''CLK'''?
*# Reduza o tempo do circuito anti-repique para 1us (microsegundo) max_cnt = 50, e verifique o funcionamento da chave '''CLK'''
+
:# O que ocorre quando o contador chega ao seu valor máximo?  Quais seriam as alternativas "teóricas" para evitar que isso ocorra?  Proponha soluções, sem se preocupar com um código de descrição do hardware (HDL).
 +
* Reduza o tempo do circuito anti-repique para 1us (microsegundo) max_cnt = 50, e verifique o funcionamento da chave '''CLK'''
  
 
;Relatório Técnico:
 
;Relatório Técnico:
*Documentar o experimento em um relatório técnico que contenha no mínimo:  
+
* Documentar o experimento em um relatório técnico que contenha no mínimo:  
:*identificação (título, disciplina, data, autores);
+
:* Identificação (título, disciplina, data, autores);
:*introdução;
+
:* Introdução;
:*descrição do procedimento realizado;
+
:* Descrição do procedimento realizado;
:*resultados obtidos (com imagens dos itens importantes) e análise dos resultados;  
+
:* Resultados obtidos (com imagens dos itens importantes) e análise dos resultados;  
:*conclusão.
+
:* Conclusão.
:*apêndice (se desejar pode ser disponibilizados vídeos do funcionamento do circuito nos Passos 3 e 5
+
:* Apêndice (se desejar pode ser disponibilizados vídeos do funcionamento do circuito nos Passos 3 e 5
*O relatório deve também responder as questões levantadas e mostrar que os objetivos apresentados na introdução foram atendidos.
+
* O relatório deve também responder as questões levantadas e mostrar que os objetivos apresentados na introdução foram atendidos.
 
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
===AE5 - Desafio das vagas de garagem===
+
===AE4 - Conversor de binário para BCD===
{{collapse top | bg=lightyellow | AE5 - Desafio das vagas de garagem}}
+
{{collapse top | bg=lightyellow | AE4 - Conversor de binário para BCD}}
;Atividade:
+
;Atividades:
*Realize a atividade descrita em [[Conhecendo os dispositivos lógicos programáveis]]
+
Neste laboratório remoto, os alunos deverão implementar uma solução do para um circuito conversor de binário para BCD ('''bin2bcd''') com entrada binária variando entre 0 a 9999.  
:*Ao escolher a família de FPGAS, escolha inicialmente um dispositivo da família Cyclone II.
 
:*Capture as telas solicitadas e depois utilize-as em um relatório da atividade.
 
:*Anote o tempo utilizado para cada uma das etapas do processo de compilação
 
:*Anote o número de elementos lógicos utilizados e o número de pinos utilizados, bem com o percentual em relação ao número total do dispositivo.
 
:*Anote algum erro ('''Error''') ou alertas ('''Warnings''') que o Quartus II indicar no painel de mensagens '''[Messages]'''
 
:*Ao final salve o projeto em um arquivo QAR (sugestão PJ1.QAR)
 
*Em seguida escolha um dos seguintes dispositivos e repita todo o procedimento:
 
#Modifique a família para '''Cyclone IV E''' e use um dispositivo para EP4CE****, e observe as mudanças que ocorre tanto no tipo de Elemento Lógico, no Chip Planner, e no Pin Planner, e no circuito dos pinos de I/O.  Note que este FPGA também apresenta novos componentes, tais como: Memória, Multiplicadores, PLLs. Verifique se consegue encontra-los no leiaute mostrado no Chip Planner,  e documento o que encontrar.
 
#Modifique a família para '''Stratix II GX''' e use o dispositivo para EP2SGX****, e observe as mudanças que ocorre tanto no tipo de Elemento Lógico, no Chip Planner, e no Pin Planner, e no circuito dos pinos de I/O. Note que este FPGA também apresenta novos componentes, tais como: Memória, Elementos DSP, PLL, DLL. Verifique se consegue encontra-los no leiaute mostrado no Chip Planner, e documento o que encontrar. 
 
*Procure comparar os resultados obtidos nos dois procedimentos.
 
  
;Entregas:
+
*Baseado no exemplo do conversor de binário para BCD - Binary-coded decimal de dois dígitos decimais (00 a 99), mostrado em aula, projete um conversor para 4 dígitos (0000 a 9999).
#O relatório técnico em PDF deverá documentar o projeto e testes realizados,  
+
*Escreva o código em VHDL, que dada uma entrada '''A''' (entre 0 e 9999), fornece nas saídas os dígitos da milhar ('''sm'''), centena ('''sc'''), dezena ('''sd''') e unidade ('''su''').
#Documentar o experimento em um relatório técnico que contenha no mínimo: identificação (título, disciplina, data, autores); introdução; descrição do procedimento realizado para simular os circuitos; resultados obtidos (com imagens dos itens importantes) e análise dos resultados, comparando as 4 soluções implementadas; conclusão; apêndice (coloque os códigos dos 4 circuitos implementados).
 
#Envie um arquivo QAR contendo todos os arquivos necessário para compilar as diferentes versões (3 ou 4 circuitos).
 
#Recomenda-se que se utilize como nome dos arquivos VHDL algo como circuitoX.vhd e para os arquivos de simulação tb_circuitoX.vwf. Todos os arquivos devem preferencialmente ficar em um único projeto e QAR.
 
#Use preferencialmente o [[Uso_do_Overleaf | Overleaf]] para gerar o relatório. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
 
#A entrega será feita através do Moodle da disciplina. Observe o prazo de entrega.
 
{{collapse bottom}}
 
  
===AE6 - Conversor de binário para BCD===
+
*Utilize as diferentes estratégias ensinadas para reduzir a quantidade de elementos lógicos, aproveitando resultados intermediários, e definindo com exatidão o número de bits a ser usado. O uso de configurações diferentes no compilador Quartus Prime 20.1.1, uso de restrições de tempo através de comandos no arquivo .SDC,  e escolha do dispositivo da família de FPGA CYCLONE IV E é permitida.
{{collapse top | bg=lightyellow | AE6 - Conversor de binário para BCD}}
+
*Realize a [[Simulação Funcional usando o ModelSim]] para mostrar que o circuito funciona.
;Atividades:
+
{{fig|AE4(a)|Exemplo de simulação funcional de 0 a 9999| bin2bcd_SIM_fucional.png| 600 px |}}
Neste laboratório remoto, os alunos deverão implementar uma ou mais soluções do para um circuito conversor de binário para BCD ('''bin2bcd''') com entrada binária variando de 0 a 999.  
 
  
*Baseado no exemplo do conversor de binário para BCD - Binary-coded decimal de dois dígitos decimais (00 a 99), mostrado em aula, projete um conversor para 3 dígitos (000 a 999).
 
*Escreva o código em VHDL, que dada uma entrada '''C''' (entre 0 e 999), fornece nas saídas os dígitos da centena ('''sc'''), dezena ('''sd''') e unidade ('''su''').
 
{{fig|AE6(a)|Exemplo de simulação funcional| bin2bcd_SIM_fucional.png| 600 px |}}
 
{{fig|AE6(b)|Exemplo de simulação temporal| bin2bcd_SIM_temporal.png| 600 px |}}
 
*Anote a quantidade de elementos lógicos do circuito.
 
{{fig|AE6(c)|Exemplo de número de elementos (166) | bin2bcd_logic_elements_basico.png| 600 px |}}
 
{{fig|AE6(d)|Exemplo de número de elementos (166) | bin2bcd_logic_elements_melhorado.png| 600 px |}}
 
*Anote o tempo máximo de propagação do circuito.
 
{{fig|AE6(e)|Exemplo de tempo máximo de propagação (60,588 ns) | bin2bcd_propagation_delay.png| 600 px |}}
 
*Procure reduzir essa quantidade, aproveitando resultados intermediários e evitando a realização de uma nova divisão pelo uso do operador REM.
 
 
*Analise o tempo de propagação e área ocupada (número de elementos lógicos) e tente otimizar um ou os dois parâmetros.  Se realizar diversas versões, pode anotar os valores de todas elas e fornecer todas as versões, mas foque no melhor desempenho.
 
*Analise o tempo de propagação e área ocupada (número de elementos lógicos) e tente otimizar um ou os dois parâmetros.  Se realizar diversas versões, pode anotar os valores de todas elas e fornecer todas as versões, mas foque no melhor desempenho.
*O aluno apresentar dois projetos como resultado sendo um para o '''menor tempo máximo de propagação''' e outro para '''menor área ocupada''' (número de elementos lógicos).  
+
*O número de elementos lógicos pode ser obtido no '''Flow Summary''' ou no '''Resource Usage Summary''', conforme mostram as figuras a seguir. Anote a quantidade de elementos lógicos do circuito.
*Faça uma simulação que mostre que o circuito projetado funciona.
+
 
*O arquivo QAR entregue deve ser plenamente compilável e permitir após a '''Análise e Síntese''' e execução do arquivo de simulação '''VWF''' apresentar o resultado final.
+
{{fig|AE4(b)|Obtendo o número de elementos no "Flow Summary"| bin2bcd_logic_elements_basico.png| 600 px |}}
*Para fins de uniformização na comparação dos resultados utilizem o menor dispositivo da família CYCLONE (EP1C3T100A8).
+
{{fig|AE4(c)|Obtendo o número de elementos no "Resource Usage Summary"| bin2bcd_logic_elements_basico_RUS.png| 600 px |}}
<strike>
+
 
*Neste laboratório não é necessário fornecer as imagens RTL e Technology Map usadas para obter e melhorar os circuitos, nem a imagem da simulação que mostra que a versão entregue funciona.
+
*O tempo máximo de propagação do circuito é obtido no '''Report Datasheet''' dentro do aplicativo '''Timing Analyser''' . 
*Se desejar você pode incluir os arquivos com as imagens de simulação e RTL de comprovação na entrega.
+
*Antes de abrir o '''Timing Analyser''' é necessário realizar as etapas '''Analysis & Synthesis''',  '''Fitter''' e '''Timing Analysis'''. 
</strike>
+
*Em seguida no aplicativo '''Timing Analyser''', é necessário executar o '''Create Timing Netlist''', '''Read SDC File''' e  '''Update Timing Netlist'''.
 +
*Selecione o '''Set Operation Conditions''' para o modelo '''Slow 1200mV 125ºC''', pois corresponde ao pior tempo dos 3 modelos de simulação.
 +
*Em seguida obtenha '''Report Datasheet'''. Anote o tempo máximo de propagação do circuito.
 +
 
 +
{{fig|AE4(d)|Exemplo de tempo máximo de propagação | bin2bcd_propagation_delay.png| 600 px |}}
 +
 
 +
*Se quiser o(a) estudante pode apresentar dois projetos, sendo um para o '''menor tempo máximo de propagação''' e outro para '''menor área ocupada''' (número de elementos lógicos).  
 +
 
 +
*O arquivo QAR entregue deve ser plenamente compilável e permitir após a '''Análise e Síntese''' e execução do comando de simulação '''do tb_bin2bcd.do''' deve apresentar o resultado final.  
 +
 
 +
*Neste laboratório é necessário fornecer a imagem RTL e Technology Map usadas para obter e melhorar os circuitos, e a imagem da simulação que mostra que a versão entregue funciona.
 +
 
 +
* Não é permitido o uso do algoritmo [https://en.wikipedia.org/wiki/Double_dabble Double Dabble] para fazer a conversão entre binário e BCD.
  
 
;Entregas:
 
;Entregas:
#Envie dois arquivos QAR contendo todos os arquivos necessário para compilar e simular os projetos .
+
#Envie os arquivos QAR contendo todos os arquivos necessário para compilar e simular os projetos.  
#Poste no comentário da atividade:  1) O número de elementos lógicos de cada versão entregue.  2) O tempo máximo de propagação de cada versão entregue.
+
#A entrega será feita através do Moodle da disciplina.
#A entrega será feita através do Moodle da disciplina. Observe o prazo de entrega de 14 dias.
+
#Use preferencialmente o Overleaf para gerar o documento. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
<strike>
+
#Envie um relato em PDF que:
#NÃO é necessário entregar um relato simples em PDF, mas pode ser feito se desejar.
+
:* Traga identificação (autor, título, data), e as informações essenciais para mostrar que o circuito funciona e mostrar os resultados obtidos para os parâmetros solicitados.  
#Caso faça o relato ele deve ter a identificação (autor, título, data), conter informações essenciais para mostrar que o circuito funciona e relatar os resultados obtidos para os parâmetros solicitados. Nesta caso aproveite para descrever como conseguiu reduzir o tempo de propagação e o número de elementos lógicos.
+
:* Descreva a metodologia seguida para reduzir o tempo de propagação e o número de elementos lógicos.
#Use preferencialmente o Overleaf para gerar o documento. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.  
+
:* Apresente o diagrama RTL e o Technology Map do circuito.
</strike>
+
:* Comprove através de imagens de simulação funcional no MODELSIM que circuito funciona.
 +
:* Mostre o número de elementos lógicos usados.
 +
:* Mostre qual o tempo máximo de propagação.
  
 
;Bônus:
 
;Bônus:
*0,2 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em primeiro lugar no parâmetro '''menor área ocupada''', com comprovação por simulação.
+
*0,5 pontos na avaliação A1 - O aluno que apresentar por primeiro a solução funcionando com comprovação por simulação.
*0,2 pontos na avaliação A1 - O aluno que apresentar a solução funcionando  e fique em primeiro lugar no parâmetro '''menor tempo máximo de propagação entre entradas e saída''', com comprovação por simulação.
+
*0,5 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em primeiro lugar no parâmetro '''menor área ocupada''', com comprovação por simulação.
*0,1 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em segundo lugar no parâmetro '''menor área ocupada''', com comprovação por simulação.
+
*0,5 pontos na avaliação A1 - O aluno que apresentar a solução funcionando  e fique em primeiro lugar no parâmetro '''menor tempo máximo de propagação entre entradas e saída''', com comprovação por simulação.
*0,1 pontos na avaliação A1 - O aluno que apresentar a solução funcionando  e fique em segundo lugar no parâmetro '''menor tempo máximo de propagação entre entradas e saída''', com comprovação por simulação.
+
*0,2 pontos na avaliação A1 - O aluno que apresentar por segundo a solução funcionando com comprovação por simulação.
 +
*0,2 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em segundo lugar no parâmetro '''menor área ocupada''', com comprovação por simulação.
 +
*0,2 pontos na avaliação A1 - O aluno que apresentar a solução funcionando  e fique em segundo lugar no parâmetro '''menor tempo máximo de propagação entre entradas e saída''', com comprovação por simulação.
 +
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
===AE7 - Estudo dos atributos de objetos e de síntese===
+
===AE5 - Laboratório de programação de FPGA - Relógio HHMMSS===
{{collapse top | bg=lightyellow | AE7 - Estudo dos atributos de objetos e de síntese}}
+
{{collapse top |expand=true | bg=lightyellow | AE5 - Laboratório de programação de FPGA - Relógio HHMMSS}}
;Atividades:
+
;Objetivos:
Neste laboratório remoto, os alunos deverão ser realizar as seguintes atividades:
+
*Desenvolver sistemas utilizando componentes já desenvolvidos.
 +
*Apreender a instanciar componentes e conectá-los com sinais.
 +
*Realizar um  projeto hierárquico.
  
;Estudar o Exemplo 4.5 - Registros redundantes:
+
;Consulte:
Avaliar a quantidade de elementos lógicos utilizadas para as diferentes versões conforme a tabela abaixo. Anote os dados de número de elementos logicos, guarde o RTL e Technology Map de cada versão.  Após realizar as 8 versões, compare os resultados e documente em um relato simples, evitando duplicar as figuras que forem iguais.  Analise os resultados escrevendo algum paragrafo de conclusão sobre este estudo.
+
*[[Preparando para gravar o circuito lógico no FPGA]]
+
*[[Interfaces de entrada e saída da DE2-115]]
{| class="wikitable" style="text-align:center; font-family:'Courier New', Courier, monospace !important;;"
+
*[[Display de 7 segmentos]]
|- style="font-weight:bold;"
 
! Atributo de síntese
 
! keep
 
! preserve
 
! noprune
 
|-
 
| versão 0
 
| false
 
| false
 
| false
 
|-
 
| versão 1
 
| true
 
| false
 
| false
 
|-
 
| versão 2
 
| false
 
| true
 
| false
 
|-
 
| versão 3
 
| true
 
| true
 
| false
 
|-
 
| versão 4
 
| false
 
| false
 
| true
 
|-
 
| versão 5
 
| true
 
| false
 
| true
 
|-
 
| versão 6
 
| false
 
| true
 
| true
 
|-
 
| versão 7
 
| true
 
| true
 
| true
 
|}
 
  
;Desafio 1 - Gerador de pulsos:
+
====Passo 1 - Projeto do Relogio_HHMMSS:====
Projetar um circuito gerador de pulsos que a cada transição de decida ou de subida do sinal de entrada '''A''', gere um pulso na saída  '''Y'''.  Neste caso, haverá BÔNUS de 0,2 pontos na avaliação A1 para o aluno que obtiver o circuito que funcione com o menor número de elementos lógicos.  Para fins de uniformização na comparação dos resultados utilizem o menor dispositivo da família CYCLONE (EP1C3T100A8).
+
*Projete um relógio para indicar hora (HH), minuto (MM) e segundo (SS), mostrando as unidades e dezenas em 6 [[Display de 7 segmentos]], usando os seguintes componentes:
Anote os dados de número de elementos lógicos, guarde o RTL e Technology Map do circuito. Também guarde a imagem da simulação que demonstre que o circuito funciona corretamente.  Meça o tempo de duração do pulso na subida do sinal '''A''' e também na descida.  Analise o resultado escrevendo um parágrafo de conclusão sobre este estudo, considerando as diferentes versões que tentou realizar.
+
:* Divisor de clock para obter um sinal de período de 1 segundo. ('''div_clk''')
Para medir a duração dos pulsos use os cursores do simulador.
+
*Componente 1 - Divisor de Clock, com o valor da divisão configurável pelo parâmetro '''fclk2'''. O sinal de saída será usado como "enable" ou "clock" a cada 1 segundo para o componente '''contador_bcd'''.
{{fig|AE7(a)|Exemplo de simulação temporal para medir duração do pulso de ~2.7 ns| gerador_pulso_SIM_temporal_subida.png| 600 px |}}
 
{{fig|AE7(b)|Exemplo de simulação temporal para medir duração do pulso de ~2.7 ns| gerador_pulso_SIM_temporal_decida.png| 600 px |}}
 
 
 
;Entregas:
 
#Envie dois arquivos QAR contendo todos os arquivos necessário para compilar os projetos e para simular (somente segundo projeto).
 
#Envie um relato simples em PDF, incluindo as imagens capturadas (inclua legenda em cada figura) e escreva para cada imagem um texto comentando o que representa.
 
#O relato deve ter a identificação (autor, título, data).  Não é necessário uma introdução e uma conclusão geral da atividade, nem a descrição dos procedimentos feita na página wiki não precisa incluída no relatório.
 
#Use preferencialmente o Overleaf para gerar o documento. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
 
#A entrega será feita através do Moodle da disciplina. Observe o prazo de entrega de 14 dias.
 
;Bônus:
 
*0,2 pontos na avaliação A1 - O primeiro aluno que apresentar a solução do '''desafio 1''' funcionando, com comprovação por simulação.
 
*0,1 pontos na avaliação A1 - O segundo aluno que apresentar a solução do '''desafio 1''' funcionando, com comprovação por simulação.
 
*0,2 pontos na avaliação A1 - O aluno que apresentar a solução do '''desafio 1''' funcionando e fique em primeiro lugar no parâmetro '''menor número de elementos lógicos''', com comprovação por simulação.
 
*0,1 pontos na avaliação A1 - O aluno que apresentar a solução do '''desafio 1''' funcionando e fique em segundo lugar no parâmetro '''menor número de elementos lógicos''', com comprovação por simulação.
 
 
 
{{collapse bottom}}
 
 
 
===AE8 - Estudo dos Arrays  ===
 
{{collapse top | bg=lightyellow | AE8 - Estudo dos Arrays}}
 
;Atividade:
 
*Altere o "Exemplo 3.5: Array de Integers 1D x 1D" de modo a armazenar 6 valores inteiros com range -128 a 127, e obtenha 3 saídas, indicado pelos 3 endereços de entrada.
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity array_1Dx1D_integer is
+
component div_clk is
 +
generic (fclk2 : natural := 50);      -- frequencia para simulacao
 
port (
 
port (
row  : in integer range 1 to 3;
+
clk_in : in std_logic;
slice : out integer range 0 to 15
+
rst : in std_logic;
 +
clk_out : out std_logic
 
);
 
);
end entity;
+
end component;
  
architecture teste of array_1Dx1D_integer is
+
</syntaxhighlight>
type a1Dx1D_integer is array (1 to 3) of integer range 0 to 15;
+
:*  de 00 a 99 configurável ('''contador_bcd''')
constant table : a1Dx1D_integer := (15, 5, 7);
+
*Componente 2 - Contador de 00 a 99 com saída em BCD, (pode ser um contador em BCD (ou um contador binário + conversor bin2bcd). O valor final deve ser configurável pelos parâmetros '''max_dezena e max_unidade'''
begin
+
<syntaxhighlight lang=vhdl>
slice <= table(row);
+
component contador_bcd is
end architecture;
+
generic (max_dezena : natural := 5; max_unidade : natural := 9);
 +
port (
 +
  clk: in std_logic;
 +
  rst: in std_logic;
 +
  fim: out std_logic;
 +
  bcd_unidade, bcd_dezena : out std_logic_vector(3 downto 0)
 +
);
 +
end component;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
:*Analise o RTL, TechMap, numero de elementos lógicos utilizados, número de pinos utilizados e o tempo de máximo de propagação.
+
Para esse contador pode ser usado o desenvolvido em aula, mas ele precisa ser modificado para permitir que o ''clock'' seja síncrono em todos os ''flip-flops''.  Assim é necessário usar um sinal de '''enable_in''' para habilitar a contagem durante um período de clock. Também será necessário gerar o sinal de '''enable_out''' para habilitar a contagem do próximo contador.
:*Faça um desenho (pode ser manual) que represente o ARRAY declarado.
 
:*Quantos bits são necessários para representar esse ARRAY?
 
:*Realize a simulação funcional para verificar que o circuito funciona
 
  
*Altere o "Exemplo 3.6: Array de bits 1D x 1D" de modo a armazenar 6 vetores de 8 bits e obtenha 3 saídas, indicado pelos 3 endereços de entrada.  Insira na matriz os mesmo bits que representam os valores do exemplo anterior.
+
*Componente 3 - Conversor de BCD para SSD, com um parâmetro configurável '''ac_ccn''' para selecionar o tipo de mostrador (Anodo Comum / Catodo Comum).
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
 +
component bcd2ssd is
 +
generic (ac_ccn : natural := 0);
 +
port (
 +
bcd_in : in std_logic_vector(3 downto 0);
 +
ssd_out : out std_logic_vector(6 downto 0)
 +
);
 +
end component;
 +
</syntaxhighlight>
 +
 +
*O valor ac_ccn será utilizado para configurar o circuito de modo a acender os segmentos com ALTO para display de catodo comum (ac_ccn=0), ou BAIXO para display de anodo comum (ac_ccn=1).
 +
  
entity array_1Dx1D_bit is
+
* '''Opção 1''' - Na entidade TOP LEVEL, podem ser instanciados os componentes '''div_clk''', 3 '''contador_bcd''' e 6 '''bcd2ssd''', e inseridos os inversores necessários para adequar ao hardware do kit DE2-115.
  port (
 
    row : in integer range 1 to 3;
 
    column : in integer range 0 to 4; --3 bits
 
    slice1 : out bit;
 
    slice2 : out bit_vector(1 to 2);
 
    slice3 : out bit_vector(1 to 4);
 
    slice4 : out bit_vector(1 to 3)
 
  );
 
end entity;
 
  
architecture teste of array_1Dx1D_bit is
+
* '''Opção 2''' - Se quiser, você pode criar um componente com um '''contador_bcd''' e dois '''bcd2ssd''' e replique 3 vezes esse componente na entidade TOP LEVEL.
  type a1Dx1D_bit is array (1 to 3) of bit_vector(1 to 4);
 
  constant table : a1Dx1D_bit :=
 
  (('1', '1', '1', '1'), --15
 
  ('0', '1', '0', '1'), -- 5
 
  ('0', '1', '1', '1')); -- 7
 
begin
 
  --slice1 <= table(row)(column);
 
  --slice2 <= table(row)(1 to 2);
 
  --slice3 <= table(row)(1 to 4);
 
  --slice4 <= table(1 TO 3)(column);
 
  --slice4 <= table(1)(column) & table(2)(column) & table(3)(column);
 
  
  --gen : for i in 1 to 3 generate
+
*'''IMPORTANTE''': É necessário incluir um RESET no circuito sequencial e também uma entrada de enable no contador.  
  -- slice4(i) <= table(i)(column);
 
  --end generate;
 
end architecture;
 
</syntaxhighlight>
 
:*Analise o RTL, TechMap, numero de elementos lógicos utilizados, número de pinos utilizados e o tempo de máximo de propagação.
 
:*Faça um desenho (pode ser manual) que represente o ARRAY declarado.
 
:*Quantos bits são necessários para representar esse ARRAY?
 
:*Realize a simulação funcional para verificar que o circuito funciona
 
  
*Altere o "Exemplo 3.7: Array de bits 2D" de modo a armazenar os bits do exemplo anterior e obtenha 3 vetores de saída, indicados pelos 3 endereços da colunas de entrada.  Insira na matriz os mesmo bits que representam os valores do exemplo anterior.
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity array_2D_bits is
+
entity relogio_HHMMSS IS
port (
+
        -- O valor do fclk2 corresponde a metade do periodo do clock de entrada em Hz
row    : in integer range 0 to 3;
+
generic (fclk2 : natural := 50);  -- ao simular o circuito utilize um valor baixo para acelerar a simulaçao
column : in integer range 0 to 4; --3 bits
+
        -- generic (fclk2 : natural := 25000000); -- ao implementar no hardware use o valor do clock em Hz
slice1 : out bit;
+
port
slice2 : out BIT_VECTOR(1 to 2);
+
(
slice3 : out BIT_VECTOR(1 to 4);
+
clk_1sec: in STD_LOGIC;
slice4 : out BIT_VECTOR(1 to 3)
+
rst_SW: in STD_LOGIC;
 +
ligar_SW: in STD_LOGIC;
 +
ssd_DS, ssd_DM, ssd_DH: out STD_LOGIC_VECTOR(6 downto 0);
 +
ssd_US, ssd_UM, ssd_UH: out STD_LOGIC_VECTOR(6 downto 0)
 
);
 
);
 
end entity;
 
end entity;
+
</syntaxhighlight>
architecture teste of array_2D_bits is
+
 
type a2D_bits is array (1 to 3, 1 to 4) of bit;
+
{{fig|AE4.a|RTL do Relógio Digital de 24 horas| Rtl_relogio24h.png| 800 px |}}
constant table : a2D_bits := (('0', '0', '0', '1'),
+
 
('1', '0', '0', '1'), ('1', '1', '0', '1')
+
====Passo 2 - Simule os componentes e o relógio completo:====
);
+
 
begin
+
* Efetue a simulação funcional do '''div_clock''', definindo a entrada de '''clk_in''' como um sinal de 100 Hz, e realizando a simulação para produzir um clk_out de 1Hz.  Certifique-se que a duração do sinal alto é de apenas 1 período do sinal de clk_in.
--slice1 <= table(row, column);
 
--slice2 <= table(row, 1 TO 2);
 
--slice3 <= table(row, 1 TO 4);
 
--slice4 <= table(1 TO 3, column);
 
--slice4 <= table(1, column) & table(2, column) & tabl
 
--gen : for i in 1 to 3 generate
 
-- slice4(i) <= table(i, column);
 
--end generate;
 
end architecture;
 
</syntaxhighlight>
 
  
:*Analise o RTL, TechMap, numero de elementos lógicos utilizados, número de pinos utilizados e o tempo de máximo de propagação.
+
* Efetue a simulação funcional do '''contador_bcd''' fazendo a contagem de 00 a 99 e também de 00 a DU, onde DU é um valor qualquer configurável pelo genéricos D e U. O contador só deverá contar se o '''enable_in''' estiver ALTO. Durante a mudança de DU para 00 o '''enable_out''' deverá ir para ALTO. Na simulação use um clock de 1 segundo,  e faça uma simulação de pelo menos 120 segundos.
:*Faça um desenho(pode ser manual) que represente o ARRAY declarado.
 
:*Quantos bits são necessários para representar esse ARRAY?
 
:*Realize a simulação funcional para verificar que o circuito funciona
 
  
*Ao final salve o projeto em um arquivo QAR (sugestão AE8.QAR)
+
* Efetue a simulação do '''bcd2ssd''' testando o circuito para as estradas bcd_in (0, 1, 2, 3, 4, 5, 6, 7, 8, 9). Para os valores inválidos de entrada (10, 11, 12, 13, 14, 15), a saída deve mostrar "E" (de erro).
*Procure comparar os resultados obtidos nos três circuitos.
 
;Dica: Para acessar linhas ou colunas de uma matriz 1D x 1D ou 2D veja o código abaixo:
 
<syntaxhighlight lang=vhdl>
 
--Acessando uma linha de uma matriz
 
gen1 : for j in 1 to 4 generate
 
    slice3(j) <= table(row, j);
 
end generate;
 
-- Acessando uma coluna de uma matriz
 
gen2 : for i in 1 to 3 generate
 
        slice4(i) <= table(i, column);
 
      end generate;
 
</syntaxhighlight>
 
  
;Entregas:
+
* Nas simulações dos circuitos sequencias é necessário iniciar o circuito com um RESET de 10 ps.
#Envie o arquivo QAR contendo todos os arquivos necessário para compilar e simular.
 
#Entregue um PDF contendo relato simples dos resultados, imagens e análise desses resultados e um conclusão.
 
#A entrega será feita através do Moodle da disciplina.  Observe o prazo de entrega.
 
{{collapse bottom}}
 
  
===AE9 - Calculadora básica implementada no kit DE2-115===
+
* Realize a simulação do relógio completo '''relogio_HHMMSS''' durante 48 horas. Para esta simulação é importante configurar o divisor de clock para realizar uma divisão com um fator menor que aquele a ser usado no circuito final (veja o comentário no código acima).
{{collapse top | bg=lightyellow | AE9 - Calculadora básica implementada no kit DE2-115}}
 
;Objetivos:
 
[[Arquivo:InterfacesDE2-115.png | right | 400px]]
 
*Estudar as limitações dos operadores aritméticos de (+, -, *, / e REM)
 
*Desenvolver soluções para sinalizar erro, evitar erro ou aplicar saturação na saída
 
*Analisar a área ocupado pelo circuito e também o máximo tempo de propagação
 
*Programar o kit DE2-115 para atuar como calculadora básica de 4 operações
 
  
;Procedimento de laboratório:
+
{{fig|AE4.b|Simulação funcional do Relógio Digital de 24 horas| Sim_relogio24h.png| 800 px |}}
;Passo 1:
 
*Adaptar o "Exercício: Multiplicador/Divisor/Somador/Subtrator"  para uma calculadora de 4 operações com números '''com sinal'''.
 
:*Defina para as entradas '''a''' e '''b''' um total de 8 bits
 
:*Incluir a seleção do resultado a ser mostrado nos leds verdes.
 
:*Unifique os 3 tipos de erro em uma única saída e use como sinalização de erro o led que fica entre os displays de sete segmentos.   
 
:*Usar as chaves deslizantes para as entradas '''a''' e '''b''', e sinalizar nos led acima das chaves o estado das chaves.
 
:*Usar as chaves de contato momentâneo "PUSH BUTTON" para escolher a operação (+, -, *, /)
 
:*Usar os leds Vermelhos para mostrar os resultados da operação selecionada.  No caso da divisão mostrar o quociente seguido do resto nestes leds.
 
:*Fazer a simulação funcional para se assegurar que a calculadora funciona.
 
  
;Passo 2:
+
{{fig|AE4.c|Simulação funcional do Relógio Digital de 24 horas - detalhe enable 1sec| Sim_relogio24h_enable.png| 800 px |}}
[[Arquivo:ConectDE2-115-KEY0-3.png | right |400px]]
 
*[[Preparando para gravar o circuito lógico no FPGA]] 
 
:* Escolher o DEVICE:  '''EP4CE115F29C7'''
 
:* Selecionar os pinos correspondentes as entradas e as saídas ver [[Interfaces de entrada e saída da DE2-115]]
 
:*[[Programando o FPGA através da USB-Blaster]]
 
:*Note no diagrama esquemático que os PUSH BUTTON estão normalmente em UM e passam para ZERO quando acionados.
 
  
 +
====Passo 3 - Implemente o relógio no kit DE2-115:====
  
;Passo 3 - Circuito com overflow:
+
*Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito.  Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]]. Neste caso iremos usar o kit DE2-115 da TERASIC, pois precisamos de 6 mostradores de 7 segmentos.  
*Realizar os seguintes testes, acionando as chaves e observando o resultado nos LEDs:
 
: Carregar valores nas chaves de entrada e observar o resultado ao acionar os PUSH BUTTON.
 
: De preferencia coloque valores que produzam erros de soma, subtração e divisão também.  Use os mesmos valores que você usou na simulação.
 
  
;Passo 4 - Circuito com saturação e sinalização de erro:
+
* Analise no diagrama esquemático como funcionam as chaves e também o mostrador de sete segmentos. Note que no projeto o signal RST foi descrito como normalmente ALTO, por isso dependendo da chave que usar pode ser necessário acrescentar um inversor neste sinal para que funcione corretamente. O [[Display de 7 segmentos]] da DE2-115 é do tipo anodo comum (aplicando um nível lógico '0' no pino correspondente fará com que o segmento acenda, enquanto a aplicação do nível lógico '1' fará com com que o segmento apague).
*Repita o '''Passo 3''', com o circuito que inclui a saturação e o bit de erro.
 
  
;Relatório Técnico:
+
*Anote a pinagem que será utilizada para conectar o circuito projetado no FPGA aos circuitos externos do kit (mostradores, chaves e leds).
*Documentar o experimento em um relatório técnico que contenha no mínimo:
 
:*identificação (título, disciplina, data, autores);
 
:*introdução;
 
:*descrição do procedimento realizado;
 
:*resultados obtidos (com imagens dos itens importantes) e análise dos resultados;
 
:*conclusão.
 
:*apêndice (se desejar pode ser disponibilizados vídeos do funcionamento do circuito nos Passos 3 e 5
 
*O relatório deve também responder as questões levantadas e mostrar que os objetivos apresentados na introdução foram atendidos.
 
  
{{collapse bottom}}
+
{{collapse top| definição dos pinos}}
 
+
{| class="wikitable sortable" style="text-align:center;"
===AE10 - Simulação funcional com o ModelSim===
+
|- style="font-weight:bold; background-color:#c0c0c0;"
{{collapse top | bg=lightyellow | AE10 - Simulação funcional com o ModelSim}}
+
! To
;Objetivos:
+
! Direction
*Conhecer o simulador ModelSim
+
! Location
*Realizar simulação funcional com o ModelSim
+
|-
*Conhecer a integração do Quartus com ModelSim
+
| clk50MHz
*Conhecer simulação usando wave-create e force
+
| Input
*Criar arquivos de script .do  para os passos da simulação e para formatação do '''wave'''
+
| PIN_Y2
 
+
|-
;Procedimento de laboratório:
+
| clk_1sec_LED
 
+
| Output
;PASSO 1 - Inciando o Modelsim e editando um arquivo VHDL:
+
| PIN_F17
 
+
|-
*'''PASSO 1a''':  Execute o software o Modelsim (considerando aqui a instalação padrão na nuvem do IFSC)
+
| rst_sw
/opt/altera/13.0sp1/modelsim_ae/bin/vsim
+
| Input
 
+
| PIN_AB28
*'''PASSO 1b''': Resete o Layout do Modelsim (caso tenha feito alguma modificação e não saiba como retornar ao original)  (Layout > Reset).
+
|-
 
+
| ssd_DHH[0]
*'''PASSO 1c''': Mude para a pasta onde está o projeto, usando a barra de menu (File > Change Directory... [Escolha a pasta]. Ou via linha de comando na janela de transcript.
+
| Output
cd /home/nome_usuario/nome_pasta/.../AE10
+
| PIN_AD17
 
+
|-
*'''PASSO 1d''': Confira se está na pasta correta
+
| ssd_DHH[1]
pwd
+
| Output
:Confira o conteúdo da pasta
+
| PIN_AE17
ls
+
|-
 
+
| ssd_DHH[2]
*PASSO 1e: Crie um novo arquivo VHDL [File > New > Source > VHDL] e digite o código abaixo e salve no arquivo '''n_flip_flop.vhd''' na pasta '''AE10'''
+
| Output
 
+
| PIN_AG17
<syntaxhighlight lang=vhdl>
+
|-
------------------------------------------------------
+
| ssd_DHH[3]
-- FILE : n_flip_flop.vhd
+
| Output
-- AUTOR: Marcos Moecke
+
| PIN_AH17
-- DATA : 22 de dezembro de 2021
+
|-
------------------------------------------------------
+
| ssd_DHH[4]
 
+
| Output
LIBRARY ieee;
+
| PIN_AF17
USE ieee.std_logic_1164.ALL;
+
|-
ENTITY n_flip_flop IS
+
| ssd_DHH[5]
  GENERIC (N : NATURAL := 4);
+
| Output
  PORT
+
| PIN_AG18
  (
+
|-
    clk : IN std_logic;
+
| ssd_DHH[6]
    rst : IN std_logic;
+
| Output
    d  : IN std_logic_vector(N - 1 DOWNTO 0);
+
| PIN_AA14
    q  : OUT std_logic_vector(N - 1 DOWNTO 0)
+
|-
  );
+
| ssd_DMM[0]
END;
+
| Output
ARCHITECTURE ifsc_v1 OF n_flip_flop IS
+
|
BEGIN
+
|-
  PROCESS (clk, rst)
+
| ssd_DMM[1]
  BEGIN
+
| Output
    IF (rst = '1') THEN
+
|
      q <= (OTHERS => '0');
+
|-
    ELSIF (clk'EVENT AND clk = '1') THEN
+
| ssd_DMM[2]
      q <= d;
+
| Output
    END IF;
+
|
  END PROCESS;
+
|-
END;
+
| ssd_DMM[3]
</syntaxhighlight>
+
| Output
 +
|
 +
|-
 +
| ssd_DMM[4]
 +
| Output
 +
|
 +
|-
 +
| ssd_DMM[5]
 +
| Output
 +
|
 +
|-
 +
| ssd_DMM[6]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[0]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[1]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[2]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[3]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[4]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[5]
 +
| Output
 +
|
 +
|-
 +
| ssd_DSS[6]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[0]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[1]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[2]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[3]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[4]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[5]
 +
| Output
 +
|
 +
|-
 +
| ssd_UHH[6]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[0]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[1]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[2]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[3]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[4]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[5]
 +
| Output
 +
|
 +
|-
 +
| ssd_UMM[6]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[0]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[1]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[2]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[3]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[4]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[5]
 +
| Output
 +
|
 +
|-
 +
| ssd_USS[6]
 +
| Output
 +
|
 +
|}
 +
{{collapse bottom}}
  
*PASSO 1f: Compile o arquivo vhd do projeto. (Compile > Compile... selecione [n_Flip_Flop.vhd] e clique em [Compile]). Responda a [Create Library?] com [Yes]. Em seguida clique em [Done]. Ou
+
*Dica para testar o relógio sem aguardar 24 horas. Acelere o clock de entrada do contador de segundos de 60 (ou 1440 vezes), com isso o sistema realizará a contagem de 00:00:00 a 23:59:59 em 24 minutos (ou 1 minuto).
vlib work
 
vcom -work work n_flip_flop.vhd
 
  
 +
====Passo 4 - Entregas:====
 +
*Documentar o experimento em um relatório técnico que contenha no mínimo:
 +
:*identificação (título, disciplina, data, autores);
 +
:*introdução;
 +
:*Descrição dos componentes utilizados e do sistema completo. Cada componente deve ser descrito em termos funcionais, e também deve ter uma simulação que demonstre o seu funcinamento.
 +
:*resultados obtidos (com imagens dos itens importantes) e análise dos resultados;
 +
:*apresente tabelas da pinagem utilizada na configuração do FPGA, número de elementos lógicos usados em cada componente e pelo sistema completo.
 +
:*conclusão.
 +
:*apêndice (se desejar pode ser disponibilizados vídeos do funcionamento do circuito
  
;PASSO 2 - Simulação funcional com o Modelsim:
+
*O relatório deve também responder as questões levantadas e mostrar que os objetivos apresentados na introdução foram atendidos.
*'''PASSO 2a''': Inicie a simulação (Simulation > Start Simulation... na aba [Design] selecione a Entity [n_Flip_Flop] no Package work e clique em [OK]. Ou
 
vsim work.n_flip_flop
 
 
 
*'''PASSO 2b''': Inicie a criação dos sinais de entrada da Entity.  Clique_direito sobre o nome da Entity na janela Library, e em seguida selecione [Create Wave]. Ou
 
wave create -pattern none -portmode in -language vhdl -range N 1 /n_flip_flop/d
 
wave create -pattern none -portmode in -language vhdl /n_flip_flop/clk
 
wave create -pattern none -portmode in -language vhdl /n_flip_flop/rst
 
wave create -pattern none -portmode out -language vhdl -range 1 N /n_flip_flop/q
 
 
 
Será aberta uma janela [Wave] na qual irão ser mostrados as 3 portas de entrada da Entity e a porta de saída. Clique sobre o sinal da porta de saída '''q''' e [Delete], pois esse sinal não será editado.  Aproveite para arrastar com o mouse os sinais na janela Wave para ficarem na seguinte ordem:  rst, clk, d.
 
 
 
*'''PASSO 2c''': Crie o sinal de '''rst''' como um  pulso de valor '1' entre 20 e 30 ps.
 
 
 
Clique_direito sobre o sinal rst e selecione [Edit > Create/Modify Waveform] e escolha [Patterns = Constant], [Start Time = 0] [End Time = 3000] [Time Unit = ps] e clique em [Next], [Value = 0] e clique em [Finish]. Ou
 
wave modify -driver freeze -pattern constant -value 0 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/rst
 
 
 
Clique_direito sobre o sinal rst e selecione [Edit > Create/Modify Waveform] e escolha [Patterns = Constant], [Start Time = 20] [End Time = 30] [Time Unit = ps] e clique em [Next], [Value = 1] e clique em [Finish]. Ou
 
wave modify -driver freeze -pattern constant -value 1 -starttime 20ps -endtime 30ps Edit:/n_flip_flop/rst
 
 
 
*'''PASSO 2d''': Crie o sinal de '''clk''' com um período de 100 ps, iniciando em '1'.
 
 
 
Clique_direito sobre o sinal clk e selecione [Edit > Create/Modify Waveform] e escolha [Patterns = Clock], [Start Time = 0] [End Time = 3000] [Time Unit = ps] e clique em [Next], [Initial Value = 1], [Clock Period = 100ps], [Duty Cycle = 50] e clique em [Finish]. Ou
 
wave modify -driver freeze -pattern clock -initialvalue 1 -period 100ps -dutycycle 50 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/clk
 
 
 
*'''PASSO 2e''': Crie o sinal de '''d''' como sendo uma contagem crescente entre "0000" e "1111"
 
 
 
Clique_direito sobre o sinal d e selecione [Edit > Create/Modify Waveform] e escolha [Patterns = Counter], [Start Time = 0] [End Time = 1000] [Time Unit = ps] e clique em [Next], [Start Value = 0000], [End Value = 1111], [Time Period = 120ps], [Counter Type = Range], [Count Direction = Up], [Step Count = 1], [Repeat = Forever] e clique em [Finish]. Ou
 
wave modify -driver freeze -pattern counter -startvalue 0000 -endvalue 1111 -type Range -direction Up -period 120ps -step 1 -repeat forever -range 4 1 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/d
 
 
 
*'''PASSO 2f''': Insira o sinal de saída q na janela Wave.
 
 
 
Clique sobre o sinal q na janela '''Objects''' e solte-o na janela '''Wave'''.  Ao final desses passos a janela Wave deverá estar conforme mostrado abaixo:
 
{{fig|AE10a|Edição do Waveform de 4 FF 1000ns no Modelsim| MODELSIM_4FF_Edit.png| 800 px |}}
 
 
 
*'''PASSO 2g''': Realize a simulação de 1000 ps
 
 
 
:Opção 1: Clique 10 vezes sobre o icone [Run] ou [F9]
 
:Opção 2: Digite 10 vezes o comando run na janela Transcript (cada run dura o tempo indicado ao lado esquerdo do icone [Run]
 
:Opção 3: Digite o comando run 1000 ps
 
:* Dica se desejar fazer a simulação durante todo o tempo descrito nos sinais criados (3000 ps) é possível utilizar o comando
 
run -all
 
 
 
*'''PASSO 2h''': Análise da simulação
 
Selecione com o shift_clique_esquerdo do mouse os sinas d e q (barramentos de 4 bits) e em seguida clique_direito e selecione [radix > unsigned]. A janela Wave deverá estar conforme mostrado abaixo:
 
{{fig|AE10b|Simulação funcional de 4 FF 1000ns no Modelsim| MODELSIM_4FF_Simul.png| 800 px |}}
 
 
 
*Note que a saída q está com (Forcing  Unknown - (X em vermelho) entre 0 e 20 ps.  Isso ocorre pois antes de aplicar o RESET o Flip Flop tem valor desconhecido.  Por isso é sempre importante aplicar um RESET logo ao iniciar a simulação de um circuito sequencial.
 
*Note que as mudanças na saída q ocorrem sempre na transição de subida do sinal do CLOCK.  Mudanças que ocorrem na entrada do sinal d não afetam a saída. 
 
*Experimente mudar o sinal de entrada d com períodos diferentes (e.g. 60ps) e repita a simulação.
 
*Inclua um pequeno pulso de RESET na instante 530ps.
 
 
 
;PASSO 3 - Criação de um arquivo de testbench:
 
Use os comandos da janela de transcript para criar um arquivo '''tb_nFF.do''' que permite repetir de forma automática o teste realizado.
 
 
 
<syntaxhighlight lang=tcl>
 
################################
 
# FILE : tb_FF_create1.do
 
# AUTOR: Marcos Moecke
 
# DATA : 14 de agosto de 2019
 
################################
 
 
 
#criacao da library work
 
vlib work
 
 
 
#compilacao da entity nome.vhd  (nao necessita ser compilado no quartus II)
 
vcom -work work n_flip_flop.vhd
 
 
 
#simulacao na entity nome.vhd
 
vsim work.n_flip_flop
 
 
 
#inclusao de um divisor
 
add wave -noupdate -divider Entradas
 
 
 
#edicao do sinal rst
 
wave create -pattern none -portmode in -language vhdl /n_flip_flop/rst
 
wave modify -driver freeze -pattern constant -value 0 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/rst
 
wave modify -driver freeze -pattern constant -value 1 -starttime 20ps -endtime 30ps Edit:/n_flip_flop/rst
 
 
 
#edicao do sinal clock
 
wave create -pattern none -portmode in -language vhdl /n_flip_flop/clk
 
wave modify -driver freeze -pattern clock -initialvalue 1 -period 100ps -dutycycle 50 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/clk
 
 
 
#edicao do sinal d
 
wave create -pattern none -portmode in -language vhdl -range N 1 /n_flip_flop/d
 
wave modify -driver freeze -pattern counter -startvalue 0000 -endvalue 1111 -type Range -direction Up -period 120ps -step 1 -repeat forever -range 4 1 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/d
 
 
 
#inclusao do sinal de saida q (como UNSIGNED)
 
add wave -position end -radix hexadecimal sim:/n_flip_flop/d
 
 
 
#inclusao de um divisor
 
add wave -noupdate -divider Saidas
 
 
 
#inclusao do sinal de saida q (como BINARY)
 
add wave -position end  sim:/n_flip_flop/q
 
 
 
#inclusao do sinal de saida q (como UNSIGNED)
 
add wave -position end -radix hexadecimal sim:/n_flip_flop/q
 
 
 
#Definir o zoom a ser mostrado na tela
 
WaveRestoreZoom {500 ps} {3000 ps}
 
 
 
#execucao da simulacao inteira
 
run -all
 
</syntaxhighlight>
 
 
 
{{fig|AE10c|Simulação funcional no Modelsim| MODELSIM_4FF_Simul2.png| 800 px |}}
 
 
 
Se desejar reiniciar a simulação use o comando
 
restart
 
E em seguida execute a simulação pelo tempo que desejar
 
run 1200 ps
 
 
 
Uma segunda opção de criação do ''testbench'' é salvando o formato e criação do WAVE em um arquivo wave.do e executar esse script dentro do ''testbench''.
 
 
 
<syntaxhighlight lang=tcl>
 
###############################################
 
## FILE : wave.do
 
## AUTOR: Marcos Moecke
 
## DATA : 22 de dezembro de 2021
 
###############################################
 
 
 
onerror {resume}
 
quietly WaveActivateNextPane {} 0
 
 
 
add wave -noupdate -divider Entradas
 
wave clipboard store
 
wave create -pattern none -portmode in -language vhdl /n_flip_flop/rst
 
wave create -pattern none -portmode in -language vhdl /n_flip_flop/clk
 
wave create -pattern none -portmode in -language vhdl -range N 1 /n_flip_flop/d
 
wave modify -driver freeze -pattern constant -value 0 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/rst
 
wave modify -driver freeze -pattern constant -value 1 -starttime 20ps -endtime 30ps Edit:/n_flip_flop/rst
 
wave modify -driver freeze -pattern clock -initialvalue 1 -period 100ps -dutycycle 50 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/clk
 
wave modify -driver freeze -pattern counter -startvalue 0000 -endvalue 1111 -type Range -direction Up -period 120ps -step 1 -repeat forever -range 3 0 -starttime 0ps -endtime 3000ps Edit:/n_flip_flop/d
 
add wave -noupdate -radix unsigned /n_flip_flop/d
 
 
 
add wave -noupdate -divider Saidas
 
add wave -noupdate /n_flip_flop/q
 
add wave -noupdate -radix unsigned /n_flip_flop/q
 
 
 
TreeUpdate [SetDefaultTree]
 
WaveRestoreCursors {{Cursor 1} {1000 ps} 0}
 
quietly wave cursor active 1
 
configure wave -namecolwidth 150
 
configure wave -valuecolwidth 100
 
configure wave -justifyvalue left
 
configure wave -signalnamewidth 1
 
configure wave -snapdistance 10
 
configure wave -datasetprefix 0
 
configure wave -rowmargin 4
 
configure wave -childrowmargin 2
 
configure wave -gridoffset 0
 
configure wave -gridperiod 1
 
configure wave -griddelta 40
 
configure wave -timeline 0
 
configure wave -timelineunits ps
 
update
 
WaveRestoreZoom {0 ps} {3000 ps}
 
view wave
 
WaveCollapseAll -1
 
wave clipboard restore
 
 
 
</syntaxhighlight>
 
 
 
<syntaxhighlight lang=tcl>
 
###############################################
 
## FILE : tb_nFF_create2.do
 
## AUTOR: Marcos Moecke
 
## DATA : 22 de dezembro de 2021
 
###############################################
 
 
 
vlib work
 
vcom -reportprogress 300 -work work /home/moecke/DLP29006/ANO2021_2/AE10/n_flip_flop.vhd
 
vsim work.n_flip_flop
 
do wave.do
 
run -all
 
</syntaxhighlight>
 
 
 
{{fig|AE10d|Simulação funcional no Modelsim| MODELSIM_4FF_Simul3.png| 800 px |}}
 
 
 
;PASSO 4 - Simulação utilizando a interface gráfica com comandos '''force''' :
 
*Realize a simulação seguindo os passos descritos durante a aula.  Percebe que a vantagem é você ir construindo passo a passo as entradas a medida que vai analisando as saídas. Após realizar a simulação que deseja sempre é possível criar os sinais através de um script '''tb_nFF_force.do''', conforme feito em aula.
 
 
 
;PASSO 5 - Conhecendo a integração do ModelSim com o Quartus II:
 
 
 
*Siga os passos descritos na aula para abrir o Modelsim a partir do Quartus II.  Para que os arquivos .do sejam incluídos no QAR é necessário adicioná-los ao projeto no Quartus II.
 
 
 
 
 
*Para conhecer melhor o MODELSIM GRAPHICAL WAVEFORM EDITOR, consulte o [[Media:ModelsimGraphicalWaveformEditor.pdf | INTRODUCTION TO SIMULATION OF VHDL DESIGNS USING MODELSIM GRAPHICAL WAVEFORM EDITOR]]
 
 
 
;Entregas:
 
*Nesta atividade, não será necessário fazer entrega de nenhum relatório, no entanto está disponível no Moodle o link caso queiram enviar alguma documentação e o QAR da AE10
 
 
 
{{collapse bottom}}
 
 
 
===AE11 - Laboratório de programação de FPGA - Timer 00 a 99===
 
{{collapse top | expand=1| bg=lightyellow | AE11 - Laboratório de programação de FPGA - Timer 00 a 99}}
 
;Objetivos:
 
*Desenvolver sistemas utilizando blocos já desenvolvidos.
 
*Apreender a conectar os circuitos através de sinais.
 
*Perceber a necessidade de realizar projeto hierárquico.
 
*Perceber o componente hardware real na definição final das entradas e saídas do sistema.
 
 
 
*Ver prazos e entrega no moodle em [https://moodle.ifsc.edu.br/course/view.php?id=9091 AE11 - Laboratório de programação de FPGA - Timer 00 a 99]
 
 
 
;Procedimento de laboratório:
 
;Passo 1 - Projete um contador BCD de 00 a 99 configurável com saída em 2 mostradores de 7 segmentos (versão 1):
 
 
 
*Projete um decodificador de BCD para sete segmentos BCD2SSD usando a instrução case.
 
<syntaxhighlight lang=vhdl>
 
entity  bin2ssd is
 
  port (
 
    bin_in : in std_logic_vector(3 downto 0);
 
    ssd_out : out std_logic_vector(0 to 6)
 
  );
 
end entity;
 
</syntaxhighlight>
 
 
 
*Modifique o contador de 00 a 99 para ser configurável para contar qualquer valor entre 01 até 99.
 
<syntaxhighlight lang=vhdl>
 
entity  contador_bcd_00_99 is
 
  generic (max_dezena : natural := 5; max_unidade : natural := 9);
 
  port (
 
    clk, rst : in std_logic;
 
    bcd_dezena, bcd_unidade : out std_logic_vector(3 downto 0)
 
  );
 
end entity;
 
</syntaxhighlight>
 
 
 
*Conecte em cada saída bcd (bcd_dezena e bcd_unidade) um circuito conversor de bcd para ssd.
 
<syntaxhighlight lang=vhdl>
 
entity contador_ssd_00_99 is
 
  generic (max_dezena : natural := 5; max_unidade : natural := 9);
 
  port (
 
clk, rst : in std_logic;
 
bcd_unidade : buffer std_logic_vector(3 downto 0);
 
bcd_dezena : buffer std_logic_vector(3 downto 0);
 
ssd_unidade : out std_logic_vector(0 to 6);
 
ssd_dezena : out std_logic_vector(0 to 6)
 
  );
 
end entity;
 
</syntaxhighlight>
 
 
 
;Passo 2 - Simule o contador BCD:
 
*Efetue a simulação funcional (Usando Modelsim) fazendo a contagem de 00 a 11 e também de 00 a 23 (para hora), de 00 a 59 (para minutos e segundos), e de 00 a 99.
 
{{fig|AE11a|Simulação do contador ssd 00 a 99 no Modelsim| contadorSSD00_99detalhe.png| 800 px |}}
 
 
 
 
 
;Passo 3 - Implemente o contador BCD no kit Mercúrio  IV:
 
* Após verificar que o circuito funciona "simulado", configurar o FPGA do '''kit Mercúrio  IV''' para implementar este circuito. 
 
:*Utilize os mostradores ssd DISP0_D e DISP1_D. Analise o tipo de mostrador que o kit possui catodo comum ou anodo comum (ler [[Display de 7 segmentos]]).
 
:*Se desejar observar os valores de bcd_dezena e bcd_unidade, use uma linha da matriz de leds.
 
:*Use como clock uma chave do tipo push-botton (por exemplo KEY11 do kit Mercúrio  IV)
 
* As informações necessárias para configurar o dispositivo e seus pinos estão em [[Preparando para gravar o circuito lógico no FPGA]].
 
* Se quiser usar algum led na matriz de led do kit Mercúrio é necessário colocar '0' da coluna do Led e '1' na linha correspondente, ou seja utilizar um segundo pino para acender o led.
 
 
 
;Passo 4 - Implemente o contador BCD no kit Mercúrio  IV com antirepique:
 
*Observe o comportamento do mostrador numérico.  Talvez a cada clique da chave o contador conte mais que um devido ao repique da chave.  Neste caso, elimine o repique da chave CLK, inserindo no código um circuito anti-repique, com um tempo de anti-repique de 10ms, já utilizado na '''AE4 - Programação do kit Mercurio IV'''.
 
 
 
<center> {{#ev:youtube|orjy0GURH_U}} </center>
 
 
 
;Entregas:
 
*Enviar pelo Moodle o arquivo qar contendo todos os arquivos do projeto, incluindo os .do para efetuar a simulação.
 
*Enviar as imagens das simulações feitas.
 
*Fazer um vídeo demonstrando que o circuito não funciona (repique) e outro que funciona (com antirepique)
 
 
 
{{collapse bottom}}
 
===AE12 - Laboratório de programação de FPGA - Relógio Digital de 24 horas===
 
{{collapse top | expand=1| bg=lightyellow | AE12 - Laboratório de programação de FPGA - Relógio Digital de 24 horas}}
 
;Objetivos:
 
*Desenvolver sistemas utilizando componentes já desenvolvidos.
 
*Usar a técnica de projeto hierárquico para realizar sistemas.
 
*Perceber o componente hardware real na definição final das entradas e saídas do sistema.
 
 
 
;Procedimento de laboratório:
 
;Passo 1 - Projete um relógio de 24 horas com as saídas numéricas usando mostradores de 7 segmentos
 
 
 
<syntaxhighlight lang=vhdl>
 
entity relogio24h IS
 
        -- O valor do fclk2 corresponde a metade do periodo do clock de entrada em Hz
 
generic (fclk2 : natural := 50);  -- ao simular o circuito utilize um valor baixo para acelerar a simulaçao
 
        -- generic (fclk2 : natural := 50000000);  -- ao implementar no hardware use o valor do clock em Hz
 
port
 
(
 
clk50MHz:    in  STD_LOGIC;
 
rst: in STD_LOGIC;
 
ligar:      in STD_LOGIC;
 
ssd_DS, ssd_DM, ssd_DH  :  out  STD_LOGIC_VECTOR(6 downto 0);
 
ssd_US, ssd_UM, ssd_UH  :  out  STD_LOGIC_VECTOR(6 downto 0)
 
);
 
end entity;
 
</syntaxhighlight>
 
 
 
O projeto deve ser dividido em pelo menos os seguintes componentes (ver exemplo do RTL abaixo)
 
{{fig|AE12.a|RTL do Relógio Digital de 24 horas| Rtl_relogio24h.png| 800 px |}}
 
 
 
*Componente 1 - Divisor de Clock, com o valor da divisão configurável pelo parâmetro '''fclk2'''.  O sinal de saída será usado como "enable" ou "clock" a cada 1 segundo para o componente '''count00_99'''.
 
<syntaxhighlight lang=vhdl>
 
component div_clk is
 
generic (fclk2 : natural := 50);      -- frequecia para simulacao
 
port (
 
clk,rst : in std_logic;
 
clk_out : out std_logic
 
);
 
end component;
 
 
 
</syntaxhighlight>
 
 
 
*Componente 2 - Contador de 00 a 99 com saída em BCD, com o valor final configurável pelos parâmetros '''D e U'''
 
<syntaxhighlight lang=vhdl>
 
component count00_99 is
 
generic (D : natural := 9; U : natural := 9);
 
port (
 
clk,rst : in std_logic;
 
enable_in : in std_logic;
 
enable_out : out std_logic;
 
bcd_U : out std_logic_vector(3 downto 0);
 
bcd_D : out std_logic_vector(3 downto 0)
 
);
 
end component;
 
</syntaxhighlight>
 
Esse contador precisa ser modificado para permitir que o ''clock'' seja síncrono em todos os ''flip-flops''.  Para isso é necessário usar um sinal de '''enable_in''' para habilitar a contagem durante um período de clock.  Também será necessário gerar o sinal de '''enable_out''' para habilitar a contagem do próximo contador.
 
 
*Componente 3 - Conversor de BIN para SSD, com um parâmetro configurável '''ac_ccn''' para selecionar Anodo ou Catodo Comum.
 
<syntaxhighlight lang=vhdl>
 
component bin2ssd is
 
  generic (ac_ccn : natural := 0);
 
  port (
 
    bin_in : in std_logic_vector(3 downto 0);
 
    ssd_out : out std_logic_vector(6 downto 0)
 
  );
 
end component;
 
</syntaxhighlight>
 
 
 
;OBS: 
 
*O valor ac_ccn será utilizado para configurar o circuito entre ativo alto para display de catodo comum (ac_ccn=0), ou ativo baixo para display de anodo comum (ac_ccn=1).
 
*Se quiser, faça um componente com um '''count00_99''' e dois '''bin2ssd''' e replique 3 vezes o componente.
 
 
 
;Passo 2 - Simule os componentes e o relógio completo:
 
* Simulação do timer com ModelSim. Na simulação definir o clk50MHz com duração de 10 ms. Faça uma simulação de pelo menos 60 segundos. O projeto deve ser simulado por componente e após isso ser feita a integração dos componentes (Ver exemplo de teste de simulação abaixo)
 
 
 
{{fig|AE12.b|Simulação funcional do Relógio Digital de 24 horas| Sim_relogio24h.png| 800 px |}}
 
 
 
{{fig|AE12.b|Simulação funcional do Relógio Digital de 24 horas - detalhe enable 1sec| Sim_relogio24h_enable.png| 800 px |}}
 
 
 
*Após a verificação do funcionamento por simulação funcional, utilizar o lab home office para enviar o hardware para o kit e comunicar ao professor para verificar se funcionou corretamente.
 
 
 
*É recomendável inserir um sinal de RESET em todos os circuitos sequenciais e ao iniciar a simulação do circuito começar com RESET ativo  durante 10 ps.
 
;Passo 3 - Implemente o relógio no kit DE2-115:
 
*Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito.  Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Neste caso iremos usar o kit DE2-115 da TERASIC, pois precisamos de 6 mostradores de 7 segmentos.
 
 
 
* Analise o diagrama esquemático como funcionam as chaves e também o tipo de display. Note que no projeto o signal RST foi descrito como normalmente ALTO, podendo ser necessário acrescentar um inversor para ter o funcionamento correto. O [[Display de 7 segmentos]] da DE2-115 é do tipo cátodo comum.
 
Anote a pinagem que você utilizou:
 
{{collapse top| definição dos pinos}}
 
<pre>
 
</pre>
 
{{collapse bottom}}
 
 
 
;Entregas:
 
Nesta atividade devem ser entregues os seguintes arquivos. 
 
*O QAR do projeto com todos os componentes usados.
 
*A imagem das simulações feitas.
 
*O arquivo SOF usado na programação do FPGA.
 
*A imagem do RTL do projeto (e dos componentes), não é necessário expandir os componentes.
 
{{collapse bottom}}
 
 
 
===AE13 - Laboratório de programação de FPGA - Relógio Digital de 24 horas com ajuste===
 
{{collapse top | expand=1| bg=lightyellow | AE13 - Laboratório de programação de FPGA - Relógio Digital de 24 horas com ajuste}}
 
;Objetivos:
 
*Desenvolver sistemas utilizando componentes já desenvolvidos.
 
*Usar a técnica de projeto hierárquico para realizar sistemas para adaptar componentes já usados
 
*desenvolver uma maquina de estado finita (FSM) para ajustar a hora e minuto do relógio
 
*Perceber se na implementação do hardware os tempos utilizados para o ajuste estão adequados.
 
 
 
;Procedimento de laboratório:
 
;Passo 1 - Projete uma FSM para o ajuste do minuto e hora do relógio
 
Essa FSM deve ler uma chave '''sw_ajustar''' e dois ''push botton'' '''pb_HH''', e '''pb_MM''', os quais devem ser usados para controlar a FSM conforme indicado no diagrama de estados abaixo:
 
{{fig|AE13.a|Diagrama de estados da FSM para ajuste do relógio| FSM_relogio24hajuste.png| 800 px |}}
 
 
 
 
 
;Passo 2 - Adapte os componentes utilizados na atividade anterior.
 
Nessa nova versão do relógio algumas modificações foram realizadas conforme está destacado no RLT a seguir:
 
{{fig|AE13.b|RTL do Relógio Digital de 24 horas com ajuste| Rtl_relogio24hajuste.png| 800 px |}}
 
  
*Componente 1 - O Divisor de Clock talvez seja necessário criar um novo sinal de saída para ser utilizado no incremento do minuto e hora. Abaixo a sugestão de usar um sinal de '''clk_100ms'''
+
*O arquivo QAR contendo o projeto
<syntaxhighlight lang=vhdl>
+
*Os arquivos para simulação (DO) necessárias para simular o sistema '''relogio_HHMMSS''' e seus componentes.
entity div_clk_ajustar is
 
generic (fclk : natural := 50);
 
port
 
(
 
clk, rst  : in std_logic;
 
clk_100ms : out std_logic;
 
clk_out  : out std_logic
 
);
 
end entity;
 
</syntaxhighlight>
 
 
 
*Componente 2 - O Contador de 00 a 99 deverá ser modificado para permitir o seu zeramento no caso do contador de segundos, e o incremento rápido no caso dos contadores de minuto e hora.  Abaixo a sugestão de usar os sinais de entrada '''zera_in''', '''prog_in''' e '''ena1'''.  A entrada prog_in foi pensada para permitir diferentes modos de programação, por exemplo  1) Incremento de 1 unidade (minuto ou hora) a cada 1 segundo.  2) Incremento de 1 unidade a cada 100 ms. 3) Incremento de 1 unidade a cada acionamento da chave pb (push bottom)
 
 
<syntaxhighlight lang=vhdl>
 
entity count00_99_ajustar is
 
generic
 
(
 
D        : natural := 9;
 
U        : natural := 9;
 
MODO_PROG : natural := 1
 
);
 
port
 
(
 
clk, rst  : in std_logic;
 
one_clk    : in std_logic;
 
ena2      : in std_logic;
 
prog_in    : in integer range 0 to MODO_PROG;
 
zera_in    : in std_logic;
 
enable_in  : in std_logic;
 
enable_out : out std_logic;
 
bcd_U      : out std_logic_vector(3 downto 0);
 
bcd_D      : out std_logic_vector(3 downto 0)
 
);
 
end entity;
 
</syntaxhighlight>
 
 
 
*Componente 3 - O conversor de BIN para SSD não necessita modificações
 
 
 
;Passo 2 - Simule os componentes e o relógio completo:
 
* Simulação do timer com ModelSim. Na simulação definir o clk50MHz com duração de 10 ms. Faça uma simulação de pelo menos 60 segundos. O projeto deve ser simulado por componente e após isso ser feita a integração dos componentes (Ver exemplo de teste de simulação abaixo)
 
 
 
{{fig|AE12.b|Simulação funcional do Relógio Digital de 24 horas| Sim_relogio24h.png| 800 px |}}
 
 
 
{{fig|AE12.b|Simulação funcional do Relógio Digital de 24 horas - detalhe enable 1sec| Sim_relogio24h_enable.png| 800 px |}}
 
 
 
*Após a verificação do funcionamento por simulação funcional, utilizar o lab home office para enviar o hardware para o kit e comunicar ao professor para verificar se funcionou corretamente.
 
 
 
*É recomendável inserir um sinal de RESET em todos os circuitos sequenciais e ao iniciar a simulação do circuito começar com RESET ativo  durante 10 ps.
 
;Passo 3 - Implemente o relógio no kit DE2-115:
 
*Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito.  Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Neste caso iremos usar o kit DE2-115 da TERASIC, pois precisamos de 6 mostradores de 7 segmentos.
 
 
 
* Analise o diagrama esquemático como funcionam as chaves e também o tipo de display. Note que no projeto o signal RST foi descrito como normalmente ALTO, podendo ser necessário acrescentar um inversor para ter o funcionamento correto. O [[Display de 7 segmentos]] da DE2-115 é do tipo cátodo comum.
 
Anote a pinagem que você utilizou:
 
{{collapse top| definição dos pinos}}
 
<pre>
 
</pre>
 
{{collapse bottom}}
 
 
 
;Entregas:
 
Nesta atividade devem ser entregues os seguintes arquivos. 
 
*O QAR do projeto com todos os componentes usados.
 
*A imagem das simulações feitas.
 
 
*O arquivo SOF usado na programação do FPGA.
 
*O arquivo SOF usado na programação do FPGA.
*A imagem do RTL do projeto (e dos componentes), não é necessário expandir os componentes.
+
<center> {{#ev:youtube|orjy0GURH_U}} </center>
 
{{collapse bottom}}
 
{{collapse bottom}}

Edição atual tal como às 09h20min de 1 de março de 2024

Registro on-line das aulas

Unidade 1 - Introdução a disciplina

  • 6 ENCONTROS
Unidade 1 - Introdução a disciplina
Encontro 1 (26 jul)
  • APRESENTAÇÃO DA DISCIPLINA
  • A PÁGINA DA DISCIPLINA contem os materiais que não alteram entre semestre.
  • Nesta página está o REGISTRO DIÁRIO E AVALIAÇÕES.
  • A entrega de atividades e avaliações será através da plataforma Moodle. A inscrição dos alunos é automática a partir do SIGAA.
  • Para a comunicação entre professor-aluno, além dos avisos no SIGAA, utilizaremos o chat institucional. A princípio todos os alunos já estão previamente cadastrados pelo seu email institucional. Confiram enviando uma mensagem de apresentação.
  • Durante as aulas é recomendado que o aluno utilize os softwares Quartus e Modelsim instalados nas maquinas do laboratório, mas estes também podem ser usados através da Nuvem do IFSC.
  • Nas aulas é recomendado que o aluno utilize os softwares Quartus Light e ModelSim instalado nas máquinas do laboratório ou então acesse estes softwares através da NUVEM do IFSC.
LER PARA O PRÓXIMO ENCONTRO
Encontro 2 (28 jul)
  • Introdução aos dispositivos lógicos programáveis:
  • Conceito, tipos de PLDs
  • SPLD:
Exemplos de PLDs

Figura 1.1 - Exemplo de PAL
PedroniFig4 4a.png
Fonte: http://www.vhdl.us/book/Pedroni_VHDL_3E_Chapter4.pdf.

Figura 1.2 - Exemplo de PLA
PedroniFig4 4b.png
Fonte: http://www.vhdl.us/book/Pedroni_VHDL_3E_Chapter4.pdf.

Figura 1.3 - Macrobloco do PLD EP300 da ALTERA
Ep310 macrocell.jpg
Fonte: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html.

Figura 1.4 - Macrocélula dos PLDs Clássicos EP600, EP900, EP1800 da ALTERA (1999)
Macrocell EP900.png
Fonte: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf.

Figura 1.5 - Architetura do PLD EP1800 da ALTERA
Ep1800 block diagram.jpg
Fonte: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html.

Figura 1.6 - Architetura do PLD EP1800 da ALTERA
Ep1800 block diagram2.jpg
Fonte: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf.

Figura 1.7 - Pinagem e tipos de encapsulamento do PLD EP1800 da ALTERA
PackagePinOut EP1810.png
Fonte: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf.

Figura 1.8 - Architetura do CPLD MAX 5000 da ALTERA
Max 5000 architecture.jpg
Fonte: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html.
  • Ver preços em
  • Fabricantes de DLPs/FPGAs e familias de DLPs atuais.
PARA O PRÓXIMO ENCONTRO
Encontro 3 (1 ago)
PARA O PRÓXIMO ENCONTRO
  • Leia a assista a alguns dos vídeos sobre a historia e processo de produção dos chips.
Encontro 4 (4 ago)
  • Arquitetura de FPGAs (Xilinx e Altera): CLB, LAB, LUT, Flip_flop D, RAM, DSP, Clock, PLL, DLL, I/O
Exemplos de FPGA



Figura 1.7 - Arquitetura de um FPGA
Architecture FPGAs.png
Fonte: https://www.intel.com/content/www/us/en/docs/programmable/683176/18-1/fpga-overview-opencl-standard.html.

Figura 1.8 - Diagrama simplificado da CLB de um FPGA ARM/Xilinx
CLB FPGAs.jpg
Fonte: https://www.sciencedirect.com/science/article/pii/B9780750678667500032#f14.

Figura 1.9 - Diagrama simplificado da ALM de um FPGA Intel/Altera
ALM LUT FPGAs.png
Fonte: https://www.intel.com/content/www/us/en/content-details/771003/fpga-architecture-8-input-lut-legacy-white-paper.html.

Figura 1.10 - Arquitetura do Cyclone® V Intel/Altera
CycloneV FPGAs.jpg
Fonte: https://www.intel.com.br/content/www/br/pt/products/details/fpga/cyclone/v/article.html.

Figura 1.11 - Leiaute de um FPGA Xilinx genérico
Leiaute2 FPGAs.jpg
Fonte: https://www.sciencedirect.com/science/article/pii/B9780750678667500032.

Figura 1.12 - Roteamento de sinal em um FPGA
Roteamento FPGAs.jpg
Fonte: https://www.sciencedirect.com/science/article/pii/B9780750678667500032.

Figura 1.13 - Tecnologias usadas na configuração de FPGAs
FPGA programming.png
Fonte: https://www.sciencedirect.com/topics/computer-science/one-time-programmable.


PARA O PRÓXIMO ENCONTRO
  • Leia a assista a alguns dos vídeos sobre FPGAs.
CURIOSIDADES
Encontro 5 (8 ago)
PARA CONHECER MAIS
  • O que é a JTAG?
Notícias recentes do mundo dos DLPs

Intel and Altera announced on June 1, 2015, that they had entered into a definitive agreement under which Intel would acquire Altera for $54 per share in an all-cash transaction valued at approximately $16.7 billion. The transaction closed December 28, 2015.

With the recent closing of its acquisition of electronic design automation (EDA) software leader, Mentor Graphics Corporation, Siemens sets out to underscore the significant customer value it envisions for both Electronic Systems and Integrated Circuit (IC) design tools. Mentor is now part of Siemens' product lifecycle management (PLM) software business, making the combined organization the world's leading supplier of industrial software used for product design, simulation, verification, testing and manufacturing. Siemens completes $4.5 billion purchase of Mentor Graphics [2].

AMD (NASDAQ: AMD) today (SANTA CLARA, Calif. 02/14/2022) announced the completion of its acquisition of Xilinx in an all-stock transaction. The acquisition, originally announced on October 27, 2020, creates the industry’s high-performance and adaptive computing leader with significantly expanded scale and the strongest portfolio of leadership computing, graphics and adaptive SoC products.
AMD said it has completed its $49 billion acquisition of Xilinx to create the “industry’s high-performance and adaptive computing leader,” marking the largest chip deal in history. With the acquisition, AMD is expanding beyond its purview of CPUs and GPUs with a large portfolio of reprogrammable chips called field programmable gate arrays, or FPGAs, that it said will significantly expand the company’s opportunities in data centers, embedded computing and telecommunications. Xilinx also has a footprint in other markets, like defense, broadcast and consumer electronics, which will help expand its total addressable market to $135 billion from $80 billion, according to AMD.

Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS

  • 10 ENCONTROS
Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS
Encontro 6 (11 ago.)
  • Introdução ao VHDL e ambiente EDA - QUARTUS
  • Estrutura do código VHDL
  • Declaração das bibliotecas e pacotes LIBRARY / PACKAGE
 library library_name;
 use library_name.package_name.all;
  • ENTITY
 entity entity_name is
   [generic (
     cons_name1: const_type const_value;
     cons_name2: const_type const_value;
     ...
     cons_nameN: const_type const_value);]
   [port (
     signal_name1: mode signal_type;
     signal_name2: mode signal_type;
     ...
     signal_nameN: mode signal_type);]
   [declarative_part]
 [begin
   statement_part]
 end [entity] [entity_name];
  • ARCHITECTURE
 architecture arch_name of entity_name is
   [declarative_part]
 begin
   statement_part
 end [architecture] [arch_name];
  • Exemplo - Declaração de uma porta NAND em VHDL
library std;
use std.standard.all;

entity nand_gate is
	port (a, b: in bit; x: out bit);
end entity;

architecture nome_arch of nand_gate is
begin
	x <= a nand b;
end architecture;
Encontro 8 (7 mar.)
  • Exemplo - Descrição de um multiplexador de 4 entradas
entity mux_novo is
	port
	(
		-- Input ports
		X: in  bit_vector (3 downto 0);
                S : in bit_vector (1 downto 0);
		-- Output ports
		Y : out bit
	);
end entity mux_novo;

-- Implementação com lógica pura
architecture v_logica_pura of mux_novo is

begin
 Y <= (X(0) and (not S(1)) and (not S(0))) or
      (X(1) and (not S(1)) and (S(0))) or
      (X(2) and (S(1)) and (not S(0))) or
      (X(3) and (S(1)) and (S(0)));
end architecture Logica_pura;

-- Implementação com WHEN ELSE
architecture v_WHEN of mux_novo is

begin
 Y <= X(0) when S = "00" else
      X(1) when S = "01" else
      X(2) when S = "10" else
      X(3);
end architecture v_WHEN;

-- Implementação com WITH SELECT
architecture v_WITH_SELECT of mux_novo is

begin
 with S select
 Y <= X(0) when "00",    -- note o uso da ,
      X(1) when "01",
      X(2) when "10",
      X(3) when others;  -- note o uso de others, para todos os demais valores.  
                         -- Não pode ser substituido por "11" mesmo que o signal seja bit_vector.
end architecture v_WITH_SELECT;

-- Implementação com IF ELSE
architecture v_IF_ELSE of mux_novo is

begin
-- Uma arquitetura vazia como essa é denominada de STUB, 
-- Pode ser utilizada em um projeto durante para conferir as conexões externas.
-- Posteriormente a arquitetura será descrita.  

end architecture v_IF_ELSET;

-- Design Unit que associa a architecture com a entity
configuration cfg_ifsc of mux_novo is
--	for v_WITH_SELECT end for;
	for v_WHEN end for;
end configuration;
  • Faça a análise e sintese do mux_novo, associando a architecture v_logica_pura, depois v_WITH_SELECT, depois v_WHEN e por último v_IF_ELSE.
  • Note a diferença entre os RTL Viewer obtidos para cada architecture.

Figura 2.1 - Código RTL do mux 4x1 v_logica_pura
RTL mux4x1v1.png
Fonte: Elaborado pelo autor.

Figura 2.2 - Código RTL do mux 4x1 v_WHEN
RTL mux4x1v2.png
Fonte: Elaborado pelo autor.

Figura 2.3 - Código RTL do mux 4x1 v_WITH_SELECT
RTL mux4x1v3.png
Fonte: Elaborado pelo autor.
OBS: Register Transfer-Level (RTL) é uma abstração na qual o circuito é descrito em termos de fluxo de sinais entre os registradores presentes no hardware e as operações combinacionais realizadas com esses dados.
  • Note a que ao verificar o Technology Map Viewer, nos 3 primeiros casos serão usados os mesmos elementos lógicos.

Figura 2.4 - Technology Map do mux 4x1 para a família Cyclone
TM mux4x1.png
Fonte: Elaborado pelo autor.
  • Note que o elemento lógico acima possui uma LUT (LookUp Table) que basicamente implementa o circuito combinacional através de uma tabela de consulta (Tabela Verdade), a qual pode ser visualizada clicando com o botão Direito do Mouse e selecionando Properties, juntamente com Mapa de Karnaugh e seu Circuito Lógico representado por portas. Todas as representações são equivalentes.

Figura 2.5 - Elemento Lógico usado no mux 4x1 para a família Cyclone (node properties)
LE mux4x1.png
Fonte: Elaborado pelo autor.
  • Dependendo da família de FPGA que se estiver usando, o compilador implementar o circuito descrito com um número diferente de elementos lógicos (LEs). No caso da família Cyclone, na qual a LUT tem 4 entradas, são necessários 2 LEs para mapear uma lógica combinacional com 6 entradas e 1 saída (Mux4x1).

No entanto se utilizarmos um dispositivo FPGA da família Stratix III, que tem LUT tem 6 entradas, será necessário apenas 1 LE, conforme ilustrado a seguir.


Figura 2.5 - Technology Map do mux 4x1 para a família Stratix III
TM mux4x1 S3.png
Fonte: Elaborado pelo autor.
Encontro 7 (15 ago.)
  • Exemplo 2.2 (VHDL) - programação de um flip-flop
 -- Declaração das bibliotecas e pacotes
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

 -- Especificação de todas as entradas e saídas do circuito
 ENTITY flip_flop IS
  PORT (d, clk, rst: IN STD_LOGIC;
   q: OUT STD_LOGIC);
 END;
  
 -- Descrição de como o circuito deve funcionar
 ARCHITECTURE flip_flop OF flip_flop IS
 BEGIN
  PROCESS (clk, rst)
  BEGIN
   IF (rst='1') THEN
    q <= '0';
   ELSIF (clk'EVENT AND clk='1') THEN
    q <= d;
   END IF;
  END PROCESS;
 END;

Figura 2.2 - Código RTL do Exemplo 2.2
RTL Ex2 2 Pedronib.png
Fonte: Elaborado pelo autor.
  • Use o Technology Map Viewer para ver a como o circuito foi mapeado para os elementos lógicos disponíveis no dispositivo FPGA selecionado (EP1C3T100A8)

Figura 2.3 - Technology Map do Exemplo 2.2
TM Ex2 2 Pedronib.png
Fonte: Elaborado pelo autor.

Figura 2.4 - Chip Planner do Exemplo 2.2
ChipPlanner Ex2 2 Pedronib.png
Fonte: Elaborado pelo autor.
  • Modifique o circuito do flip-flop para que ele passe a ter 4 flip-flops

Figura 2.5 - RTL 4 FF
RTL 4FF.png
Fonte: Elaborado pelo autor.


  • Uso de alguns sites auxiliares para a programação em VHDL:
Encontro 8 (18 ago.)
  • Simulação Funcional usando o ModelSim
  • Funcionamento do ModelSim: GUI, caracteristicas, testbench
  • Prática do Modelsim com bin2bcd
  • Faça a simulação inserindo os valores 64, 99, 09, 00, 01, 109, 190.
  • Perceba que com 7 bits na entrada, o número 190 não é representado corretamente, pois necessita de 8 bits.
  • Perceba que a conversão para BCD do número 109 não é correta, pois o algoritmo implementado só preve a separação de dezena e unidade, e portanto não trata a centena.
Encontro 9 (22 ago.)
  • Library std
O Package standard: é parte do VHDL desde a primeira versão (1987). Ela contem definição de tipos de dados (BIT, INTEGER, BOOLEAN, CHARACTER, etc.) e seus operadores logicos, aritméticos, de comparação e shift.
O Package textio fornece os recurso para o tratamento de textos e arquivos, que podem ser utilizados na simulação.
  • Como declarar e usar os pacotes da biblioteca std.
Note que esses pacotes são implicitamente carregados não precisando ser declarados. Ambos pacotes foram expandidos no VHDL 2008.
-- NAO É NECESSARIO DECLARAR
library std;
use std.standard.all;
use std.textio.all;
  • Onde estão os arquivos dessa biblioteca na versão Quartus instalada nos computadores do IFSC e na IFSC_CLOUD?
ls /opt/intelFPGA/20.1/quartus/libraries/vhdl/std 
  • Library ieee
O Package std_logic_1164 define os tipos de dados STD_ULOGIC e STD_LOGIC.
O Package numeric_std define os tipos de dados SIGNED e UNSIGNED e seus operadores considerando o tipo STD_LOGIC como base.
O Package numeric_bit define os tipos de dados SIGNED e UNSIGNED e seus operadores considerando o tipo BIT como base.
O Package numeric_std_unsigned introduz operadores sobre o tipo STD_LOGIC_VECTOR, considerando os como números sem sinal.
O Package numeric_bit_unsigned introduz operadores sobre o tipo BIT_VECTOR, considerando os como números sem sinal.
O Package fixed_pkg (e pacotes associados) definem os tipos de ponto fixo unsigned (UFIXED) e signed (SFIXED) e seus operadores.
O Package float_pkg (e pacotes associados) definem o tipo de ponto flutuante (FLOAT) e seus operadores.
  • Pacotes não padronizados (NÃO UTILIZAR)
O Package std_logic_arith define os tipos de dados SIGNED e UNSIGNED e seus operadores. Deve ser sempre substituído por pelo pacote padrão equivalente numeric_std. (disponível da Mentor e Synopsys)
O Package std_logic_unsigned é semelhante ao numeric_std_unsigned. (disponível da Synopsys)
O Package std_logic_signed é semelhante ao acima operando sobre números com sinal. (disponível da Synopsys)
  • Como declarar e usar os pacotes da biblioteca ieee.
library ieee;
-- UTILIZAR ESTES PACOTES
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

-- NAO UTILIZAR ESTES PACOTES
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_unsigned.all;
  • Onde estão os arquivos dessa biblioteca na versão Quartus II versão 13.0sp1 instalada nos computadores do IFSC e na IFSC_CLOUD?
Os pacotes padrão:
/opt/intelFPGA/20.1/quartus/libraries/vhdl/ieee
Os pacotes não padrão:
/opt/intelFPGA/20.1/quartus/libraries/vhdl/mentor/arithmetic  (Mentor Graphics)
/opt/intelFPGA/20.1/quartus/libraries/vhdl/synopsys/ieee (Synopsys)
  • Os arquivos dessa biblioteca do padrão (versão 2008) estão apenas disponíveis a partir do Quartus II versão 16.0 instalado na IFSC_CLOUD?
ls /opt/altera/16.0/quartus/libraries/vhdl/ieee/2008
  • Exemplo 2.3 (VHDL e Modelsim) - programação de um circuito somador com registrador
Realizar a simulação funcional do circuito
Observar o "Technology Map" e o "RTL" do circuito
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

 ENTITY registered_comp_add_v1 IS
  PORT (clk: IN STD_LOGIC;
   a, b: IN INTEGER RANGE 0 TO 7;
   reg_comp: OUT STD_LOGIC;
   reg_sum: OUT INTEGER RANGE 0 TO 15);
 END ENTITY;

 ARCHITECTURE ifsc_v1 OF registered_comp_add_v1 IS
  SIGNAL comp: STD_LOGIC;
  SIGNAL sum: INTEGER RANGE 0 TO 15;
 BEGIN
  comp <= '1' WHEN a>b ELSE '0';
  sum <= a + b;
  PROCESS (clk)
  BEGIN
   IF (clk'EVENT AND clk='1') THEN
    reg_comp <= comp;
    reg_sum <= sum;
   END IF;
  END PROCESS;
 END ARCHITECTURE;
Acrescente saídas para o sinal sum e para o sinal comp, de modo a poder observar estes sinais no simulador Modelsim e realize nova simulação funcional.

Figura 2.10 - Código RTL do Exemplo 2.3
RTL Ex2 3 Pedronib.png
Fonte: Elaborado pelo autor.
Encontro 10 (23 ago.)
  • Exemplo de um contador em VHDL. COUNTER na página de VHDL da Wikipedia.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;    -- for the unsigned type

entity COUNTER is
  generic (
    WIDTH : in natural := 32);
  port (
    RST   : in std_logic;
    CLK   : in std_logic;
    LOAD  : in std_logic;
    DATA  : in std_logic_vector(WIDTH-1 downto 0);
    Q     : out std_logic_vector(WIDTH-1 downto 0));
end entity COUNTER;

architecture RTL of COUNTER is

begin

  process(all) is
  begin
    if RST then
      Q <= (others => '0');
    elsif rising_edge(CLK) then
      if LOAD='1' then
        Q <= DATA;
      else
        Q <= std_logic_vector(unsigned(Q) + 1);
      end if;
    end if;
  end process;

end architecture RTL;
  • Restringir a frequencia máxima de clock no Quartus II
  • Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal (considerando o tempo de propagação). Em função da dificuldade na realização da simulação temporal com o Modelsim, será utilizado excepcionalmente o QSIM para este fim.
  • Ao realizar as simulações funcional e temporal do circuito Flip-Flop4 ou do Counter, será possível perceber que enquanto na simulação funcional, as mudanças ocorrem instantaneamente, na temporal, todos os tempos de propagação em vias e nos elementos lógicos são considerados. OBserve atentamente nas duas figuras a seguir as diferenças.

Figura 2.6 - Simulação funcional com QSIM de 4 FF - 100ns
SIM1 4FF.png
Fonte: Elaborado pelo autor.

Figura 2.7 - Simulação Temporal com QSIM de 4 FF - 100ns
SIM2 4FF.png
Fonte: Elaborado pelo autor.
Note que na simulação funcional a mudança da saída Q ocorre no instante em que ocorre a borda de subida do clock ou no momento do reset. No entanto, no caso da simulação com timing, existem atrasos variáveos de cerca de ~6ns nestas mudanças.

IMPORTANTE: Na prática normalmente não é necessário fazer a simulação temporal, pois através do Time Quest Report é possível verificar se o circuito atende as restrições de tempo.

  • Para definir as restrições de tempo do clock por exemplo, pode ser adicionado um arquivo .sdc ao projeto definindo a frequência do clock esperada através da seguinte linha:
create_clock -name CLK50MHz -period 50MHz [get_ports {*}]
  • Ver pag. 3 a 24 de [2]


Encontro 11 e 12 (25 e 29 ago.)
  • utilizar o código do contador em VHDL. COUNTER na página de VHDL da Wikipedia.
  • Definir a pinagem das chaves e leds conforme o kit a ser utilizado.
  • Perceber o problema do repique das chaves.
Ver Dicas de como eliminar o repique das chaves mecânicas
Ler sobre o problema do repique das chaves mecânicas A Guide to Debouncing

Unidade 3 - Tipos de Dados e Operadores em VHDL

  • 10 ENCONTROS
Unidade 3 - Tipos de Dados e Operadores em VHDL
Encontro 13 (1 set.)
  • Comentários no código (duplo traço --)
-- Isso eh uma linha de comentario
y <= a * b ; --o sinal y recebe o resultado da multiplicacao a x b 
  • Representação de caracteres, strings e números em VHDL. No circuito, os caracteres são representados através de bits de acordo com a tabela ASCII básica (00 a 7F). A definição dessa tabela é feita o pacote standard.vhd da biblioteca std.
  • Caracteres (entre aspas simples)
caracter:  'A' 'x' '#' (com aspas simples)
  • Palavras (entre aspas duplas), é definida no VHDL como um vetor de caracteres.
   type string is array (positive range <>) of character;
string: "IFSC" "teste" "teste123"
  • Números em geral
elemento ("bit") único:  '0' '1' 'Z' (entre aspas simples)
vetor de elementos ("bits"): "0110"  "101001Z" (entre aspas duplas)
vetor de 1 elemento ("bit"): "0" "1" (entre aspas duplas)
inteiros: 5 1101 1102  (sem aspas)
  • Números binários:
0 -> '0'
7 (em base 2) -> "0111" ou b"0111" ou B"0111"
1023 (em base 2) -> "001111111111" ou b"1111111111"  ou B"1111111111" 
  • Números octais:
44  (em base 8) ->  5*8^1 + 4*8^0  -> O"54" ou o"54"
1023 (em base 8)->  1*8^3 + 7*8^2 + 7*8^1 + 7*8^0 -> o"1777" 8#1777#
  • Números Hexadecimais:
1023 (em base 16) -> 3*16^2 + 15*16^1 + 15*16^0 = X"3FF" ou x"3FF" 16#3FF#
  • Números decimais:
1023 -> 1023 ou 1_023
1000 -> 1000 ou 1_000 ou 1E3 ou 10#1000#
Cuidado ao usar o "_" pois algumas ferramentas não o reconhecem.
  • Números em outras bases (de 2 a 16)
85 (em base 5) ->  (3*5^2 + 2*5^1 + 0*5^0) -> 5#320#
1539 (em base 3) -> (2*3^2+0*3^1+1*3^0)*3^4 -> 3#201#E4 
  • Tipos de dados em VHDL.
  • Objetos de VHDL: CONSTANT, SIGNAL, VARIABLE, FILE.

O objeto CONSTANT pode ser declarado na parte declarativa da ENTITY, ARCHITECTURE, PACKAGE, PACKAGE_BODY, BLOCK, GENERATE, PROCESS, FUNCTION e PROCEDURE.

constant <constant_name> : <type> := <constant_value>;

-- Declarações comuns de constantes

constant GND : std_logic := '0';
constant VCC : std_logic := '1';
constant SSD_0 : std_logic_vector(0 to 6) := "1111110";
constant MAX : natural := 44;

O objeto SIGNAL pode ser declarado na parte declarativa da ENTITY, ARCHITECTURE, PACKAGE, BLOCK, GENERATE. Os sinais não podem ser declarados no código sequencial (PROCESS, FUNCTION e PROCEDURE), mas podem ser usados.

-- Signal sem valor default
-- Para atribuir um valor a um signal use  "<=" como operador. 

signal <name> : <type>;

-- Signal com valor default
signal <name> : <type> := <default_value>;

-- Declarações comuns de signals

signal <name> : std_logic;
signal <name> : std_logic_vector(<msb_index> downto <lsb_index>);
signal <name> : integer;
signal <name> : integer range <low> to <high>;

O objeto VARIABLE (variável) só pode ser declarada e usada dentro do escopo no código sequencial (PROCESS, FUNCTION e PROCEDURE).

-- Variables devem ser declarada em process ou subprogramas.
-- Para atribuir um valor a um variable use  ":=" como operador.

-- Variable sem valor default.	
variable <name> : <type>;

-- Variable com valor default.
variable <name> : <type> := <default_value>;

-- Declarações comuns de variables
variable <name> : std_logic;
variable <name> : std_logic_vector(<msb_index> downto <lsb_index>);
variable <name> : integer;
variable <name> : integer range <low> to <high>;
  • Palavra chave OTHERS para formação de agregados

Exemplos de declaração de CONSTANT, SIGNAL, VARIABLE, inicializando o valor usando o agregados

CONSTANT a: BIT_VECTOR(5 DOWNTO 0) := (OTHERS => '0');  --  "000000"

CONSTANT b: BIT_VECTOR(7 DOWNTO 0) := (7 => '0', OTHERS => '1');  -- "01111111"
CONSTANT c: BIT_VECTOR(7 DOWNTO 0) := (7 => '0', 6 DOWNTO 0 => '1');  -- "01111111"
CONSTANT d: BIT_VECTOR(7 DOWNTO 0) := "01111111";

SIGNAL e: STD_LOGIC_VECTOR(7 DOWNTO 0);   -- Not initialized
SIGNAL f: STD_LOGIC_VECTOR(1 TO 8) := (2|3|8 => '1', 4 => 'Z', OTHERS => '0' ); -- "011Z0001"

VARIABLE g: BIT_VECTOR(1 TO 16);  -- Not initialized
VARIABLE h: BIT_VECTOR(1 TO 16) := (1 TO 8 => '1', OTHERS => '0');  -- "1111111100000000"
Ver pag. 31 a 35 de [2]
  • ATENÇÃO!!! Não use as bibliotecas que não são padrão (std_logic_arith, std_logic_unsigned, std_logic_signed)
  • Classificação dos tipos de dados.

A biblioteca standard.vhd define os tipos BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, STRING.

	package standard is
	type boolean is (false,true); 
	type bit is ('0', '1');
	type severity_level is (note, warning, error, failure); 
	type integer is range -2147483647 to 2147483647; 
	type real is range -1.0E308 to 1.0E308; 
	type time is range -2147483648 to 2147483647 
		units 
			fs;
			ps = 1000 fs;
			ns = 1000 ps;
			us = 1000 ns; 
			ms = 1000 us; 
			sec = 1000 ms; 
			min = 60 sec; 
			hr = 60 min; 
		end units;
	subtype natural is integer range 0 to integer'high; 
	subtype positive is integer range 1 to integer'high; 
	type string is array (positive range <>) of character; 
	type bit_vector is array (natural range <>) of bit;


Encontro 14 (5 set.)

A biblioteca Std logic 1164.vhd define os tipos STD_(U)LOGIG, STD_(U)LOGIG_VECTOR.

	PACKAGE std_logic_1164 IS
	TYPE std_ulogic IS ( 'U',  -- Uninitialized
                         'X',  -- Forcing  Unknown
                         '0',  -- Forcing  0
                         '1',  -- Forcing  1
                         'Z',  -- High Impedance   
                         'W',  -- Weak     Unknown
                         'L',  -- Weak     0       
                         'H',  -- Weak     1       
                         '-'   -- Don't care
                       );
	TYPE std_ulogic_vector IS ARRAY ( NATURAL RANGE <> ) OF std_ulogic;
	SUBTYPE std_logic IS resolved std_ulogic;
	TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;

A biblioteca Std logic 1164.vhd ainda define algumas funções importantes como a rising_edge que determina se um sinal está na borda de subida (usado em sinais de clock).

    -------------------------------------------------------------------
    -- conversion functions
    -------------------------------------------------------------------
    FUNCTION To_bit             ( s : std_ulogic;        xmap : BIT := '0') RETURN BIT;
    FUNCTION To_bitvector       ( s : std_logic_vector ; xmap : BIT := '0') RETURN BIT_VECTOR;
    FUNCTION To_StdULogic       ( b : BIT               ) RETURN std_ulogic;
    FUNCTION To_StdLogicVector  ( b : BIT_VECTOR        ) RETURN std_logic_vector;

    -------------------------------------------------------------------    
    -- edge detection
    -------------------------------------------------------------------    
    FUNCTION rising_edge  (SIGNAL s : std_ulogic) RETURN BOOLEAN;
    FUNCTION falling_edge (SIGNAL s : std_ulogic) RETURN BOOLEAN;

   -------------------------------------------------------------------    
    -- edge detection
    -------------------------------------------------------------------    
    FUNCTION rising_edge  (SIGNAL s : std_ulogic) RETURN BOOLEAN IS
      -- altera built_in builtin_rising_edge
    BEGIN
        RETURN (s'EVENT AND (To_X01(s) = '1') AND 
                            (To_X01(s'LAST_VALUE) = '0'));
    END;

A biblioteca Numeric std.vhd define os tipos UNSIGNED e SIGNED.

package NUMERIC_STD is
  type UNSIGNED is array (NATURAL range <>) of STD_LOGIC;
  type SIGNED is array (NATURAL range <>) of STD_LOGIC;

A biblioteca Numeric std.vhd ainda define os operadores (abs, "+", "-", "*", "/", rem, mod, sll, slr, ror, rol), comparações ("=", '/=', ">", ">=", "<", "<=") e operadores lógicos (not, and, nand, or, nor, xor, xnor) para os tipos SIGNED e UNSIGNED. Além disso também define algumas funções muito utilizadas como:

  --============================================================================
  --   RESIZE Functions
  --============================================================================
  function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED;
  function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED;

  --============================================================================
  -- Conversion Functions
  --============================================================================
  function TO_INTEGER (ARG: UNSIGNED) return NATURAL;
  function TO_INTEGER (ARG: SIGNED) return INTEGER;
  function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED;
  function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED;
Ver pag. 73 a 78 de [2]
  • Resumo dos Tipos predefinidos.
Tipo de Dado Package Library Valores Observações
BOOLEAN standard std TRUE e FALSE sintetizável
BIT standard std valores '0', '1' sintetizável
INTEGER standard std números inteiros de 32 bits [de -2^31-1 até + (2^31 - 1)] sintetizável
NATURAL standard std números inteiros não negativos [de 0 até + (2^31 - 1)] sintetizável
POSITIVE standard std números inteiros positivos [de 1 até + (2^31 - 1)] sintetizável
BOOLEAN_VECTOR standard (2008) std vetor de BOOLEAN sintetizável
BIT_VECTOR standard std vetor de BIT sintetizável
INTEGER_VECTOR standard (2008) std vetor de INTEGER sintetizável
REAL standard std números reais [de -1.0E-38 até + 1.0E38] simulação
CHARACTER standard std caracteres ASCII
STRING standard std vetor de CHARACTER
STD_LOGIC std_logic_1164 ieee valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-' sintetizável
STD_LOGIC_VECTOR std_logic_1164 ieee vetor de STD_LOGIC sintetizável
SIGNED numeric_std ieee STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal sintetizável
UNSIGNED numeric_std ieee STD_LOGIC_VECTOR que aceitam operações aritméticas sem sinal sintetizável
SIGNED numeric_bit ieee BIT_VECTOR que aceitam operações aritméticas com sinal sintetizável
UNSIGNED numeric_bit ieee BIT_VECTOR que aceitam operações aritméticas sem sinal sintetizável
SIGNED std_logic_arith ieee STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal sintetizável (não é padrão, não utilizar)
UNSIGNED std_logic_arith ieee STD_LOGIC_VECTOR que aceitam operações aritméticas sem sinal sintetizável (não é padrão, não utilizar)
UFIXED fixed_pkg + (2008) ieee números de ponto fixo sem sinal sintetizável
SFIXED fixed_pkg + (2008) ieee números de ponto fixo com sinal sintetizável
FLOAT float_pkg + (2008) ieee Números de ponto flutuante sintetizável
  • Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
  • Desafio 1 - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada x(n) está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga.
  • Faça a simulação do circuito para ver se está funcionando,

Figura 3.1 - Simulação do indicador de vagas
Vagas9.png
Fonte: Elaborado pelo autor.
  • Desafio 2 - Fazer um circuito que conte o número de vagas vazias em um lote de 9 vagas. A entrada x(n) está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída CNT deverá mostrar em binário sem sinal o número de vagas vazias (O valor de CNT poderá ser entre 0 e 9).
  • Faça a simulação do circuito para ver se está funcionando,

Figura 3.2 - Simulação do contador de vagas
Cntvagas9.png
Fonte: Elaborado pelo autor.
Encontro 15 (6 set.)
  • Exemplo 3.1 Buffer Tri-state
  • Ver como funciona em [3]
library ieee;
use ieee.std_logic_1164.all;

entity tri_state is
  generic (N: NATURAL := 1);
  port 
  (
    input      : in std_logic_vector(N-1 downto 0);
    ena        : in std_logic;
    output     : out std_logic_vector(N-1 downto 0);
  );
end entity;

architecture tri_state of tri_state is
begin
  output <= input when ena = '1' else "Z";
end architecture;
  • Corrija os erros do código e verifique o modelo RTL obtido.
  • Em seguida modifique as portas input e output para o tipo std_logic.
  • Analise se seria possível modificar as portas para o tipo bit.
Importante: O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O.
Curiosidade
Existem circuitos comerciais que implementam essa função three-state 16 buffers, 8 buffers, 1 buffer. Porque não utilizar um CPLD ou FPGA em seu lugar?
Encontro 16 (12 set.)
  • Exemplo 3.2 Circuito com Saida "don't care"
library ieee;
use ieee.std_logic_1164.all;

entity Ex3_2 is
  port 
  (
    x : in STD_LOGIC_VECTOR(1 downto 0);
    y : out STD_LOGIC_VECTOR(1 downto 0)
  );
end entity;

architecture un3 of Ex3_2 is
begin
  y <= "00" when x = "00" else
       "01" when x = "10" else
       "10" when x = "01" else
       "--";
end architecture;
  • Desafio 3 - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 9 vagas. A entrada x(n) está baixo '0' se a vaga está vazia, e alto '1' se tem carro. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias, e em baixo '0' se não houver nenhuma vaga. Inspirado na descrição VHDL acima, tente resolver esse problema usando don't care.
Importante: O don't care não funciona como se espera para uma entrada, por isso, use don't care apenas para saídas.
x = "1----" -- não funciona em VHDL
  • Se quiser mesmo usar don't care em entradas use a função std_match do pacote numeric_std
std_match(x, "1----") -- funciona em VHDL
  • Tipos de dados: SIGNED e UNSIGNED
  • Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
Código Multiplicador
--LIBRARY ieee;
--USE ieee.numeric_std.all;
--USE ieee.std_logic_1164.all;

ENTITY multiplicador4x4 IS

-- multiplicador usando INTEGER (positivos)
-- PORT (a, b: IN INTEGER RANGE 0 TO 15;   -- min(a) = 0; max(a) = 15       -> 4 bits
-- y: OUT INTEGER RANGE 0 TO 225);         -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando INTEGER (positivos e negativos)
-- PORT (a, b: IN INTEGER RANGE -8 TO 7;   -- min(a) = -8; max(a) = 7       -> 4 bits
-- y: OUT INTEGER RANGE -56 TO 64);        -- min(a*b) = -56, max(a*b) = 64 -> 8 bits 

-- multiplicador usando UNSIGNED
-- PORT (a, b: IN UNSIGNED(3 DOWNTO 0);    -- min(a) = 0; max(a) = 15       <- 4 bits
-- y: OUT UNSIGNED(7 DOWNTO 0));           -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando SIGNED
-- PORT (a, b: IN SIGNED(3 DOWNTO 0);      -- min(a) = -8; max(a) = 7       <- 4 bits 
-- y: OUT SIGNED(7 DOWNTO 0));             -- min(a*b) = -56, max(a*b) = 64 -> 8 bits


-- multiplicador usando STD_LOGIC_VECTOR
-- PORT (a, b: IN STD_LOGIC_VECTOR(3 DOWNTO 0);    -- min(a) = 0; max(a) = 15       <- 4 bits
-- y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));           -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando STD_LOGIC_VECTOR
-- PORT (a, b: STD_LOGIC_VECTOR(3 DOWNTO 0);       -- min(a) = -8; max(a) = 7       <- 4 bits 
-- y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));           -- min(a*b) = -56, max(a*b) = 64 -> 8 bits


END ENTITY;

ARCHITECTURE v1 OF multiplicador4x4 IS
BEGIN
 y <= a * b;
END ARCHITECTURE;
  • Observar o número de elementos lógicos, bits usados para representar as entradas e saídas.
  • Observar o código RTL obtido.
  • Realizar a simulação com entradas UNSIGNED e INTEGER na faixa de valores de 0 até 15, e analisar se o valor da saída está correto.
  • Realizar a simulação com entradas SIGNED e INTEGER na faixa de valores de -8 até 7, e analisar se o valor da saída está correto.
  • Realizar a simulação com entradas STD_LOGIC_VECTOR na faixa de valores de 0 até 15, e analisar se o valor da saída está correto. Neste caso será necessário realizar uma conversão de STD_LOGIC_VECTOR para UNSIGNED antes de efetuar a operação de "*", e após a operação é necessário fazer a operação inversa UNSIGNED para STD_LOGIC_VECTOR
  • Realizar a simulação com entradas STD_LOGIC_VECTOR na faixa de valores de -8 até 7, e analisar se o valor da saída está correto. Neste caso será necessário realizar uma conversão de STD_LOGIC_VECTOR para SIGNED antes de efetuar a operação de "*", e após a operação é necessário fazer a operação inversa SIGNED para STD_LOGIC_VECTOR

Ler e guardar a página sobre Aritmética com vetores em VDHL


Ver pag. 39 a 54 de [2]
Encontro 17 (15 set.)
  • Operadores em VHDL.
  • Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
Operadores aritméticos

São suportados nos tipos de dados: INTEGER, NATURAL, POSITIVE, SIGNED e UNSIGNED. Com VHDL 2008 também suportado para UFIXED, SFIXED e FLOAT.

soma (+)
subtração (-)
multiplicação (*)
divisão (/)
exponenciação (**)
valor absoluto (ABS)
resto (REM remainder)
módulo (MOD)

Não há restrições para síntese de circuitos com os operadores, exceto para "**" que necessita de expoente estático (a**5) ou base estática (5**a).

O operador x/y é a divisão inteira com sinal.

Exemplos: 9/10 = 0; -7/3 = -2; 9/-4 = -2; 20/(-4) = -5.

O operador ABS x retorna o valor absoluto de x.

Exemplos: ABS 6 = 6; ABS -11 = 11.

O operador x REM y retorna o resto de x/y com sinal de x. Esse operador realiza a operação x REM y = x - (x/y)*y.

Exemplos: 9 REM 10 = 9; -7 REM 3 = -1; 9 REM -4 = 1; 20 REM (-4) = 0.

O operador x MOD y retorna o resto de x/y com sinal de y. Esse operador realiza a operação x MOD y = x REM y + a*y, onde a = 1 quando o sinal de x é diferente do sinal de y, e a = 0 se os sinais de x e y são iguais.

Exemplos: 9 MOD 10 = 9 ; -7 MOD 3 = 2; 9 MOD -4 = -3; 20 REM (-4) = 0.


Ver pag. 91 a 97 de [2]
Exemplo de uso de operadores aritméticos
  • Exemplo conversor de binário para BCD - Binary-coded decimal de dois dígitos decimais (00 a 99). Para ilustrar são utilizadas os operadores DIVISOR e RESTO. Note a quantidade de elementos lógicos utilizados. É possível reduzir essa quantidade, aproveitando resultados intermediários e evitando a realização de uma nova divisão pelo uso do operador REM. Faça uma segunda implementação que reduza significativamente o número de elementos lógicos.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity bin2bcd is
	port 
	(

		A      : in std_logic_vector (6 downto 0);
		sd, su : out std_logic_vector (3 downto 0)
	);
end entity;

architecture ifsc_v1 of bin2bcd is
	signal A_uns          : unsigned (6 downto 0);
	signal sd_uns, su_uns : unsigned (6 downto 0);

begin
	sd     <= std_logic_vector(resize(sd_uns, 4));
	su     <= std_logic_vector(resize(su_uns, 4));
	sd_uns <= A_uns/10;
	su_uns <= A_uns rem 10;
	A_uns  <= unsigned(A);
end architecture;

architecture ifsc_v2 of bin2bcd is

begin
-- Implemente o circuito usando a definição de REM   que é:  x REM y  = x - (x/y)*y
end architecture;

configuration bin2bcd_cfg of bin2bcd is
--A instrução '''configuration''' associa a '''entity''' bin2bcd a '''architecture'''.
	for ifsc_v1 end for;
--Para associar a '''entity''' bin2bcd a '''architecture''' ifsc_v2 comente a linha acima e descomente a linha abaixo.
--	for ifsc_v2 end for;
end configuration;

Figura 3.2 - RTL do conversor de Binário para BCD com 2 digitos
Bin2bcdDU RTL.png
Fonte: Elaborado pelo autor.

Figura 3.3 - Simulação do conversor de Binário para BCD com 2 digitos
Bin2bcdDU modelsim.png
Fonte: Elaborado pelo autor.


Encontro 18 (19 set.)
Operadores lógicos

São suportados nos tipos de dados: BIT, BIT_VECTOR, BOOLEAN, STD_(U)LOGIC, STD_(U)LOGIC_VECTOR, SIGNED e UNSIGNED. Com VHDL 2008 também suportado para BOOLEAN_VECTOR, UFIXED, SFIXED e FLOAT.

NOT
AND
NAND
OR
NOR
XOR
XNOR                  

Apenas o operador NOT tem precedência sobre os demais

y <=  a AND b XOR c  -- é equivalente a (a AND b) XOR c
y <=  NOT a AND b    -- é equivalente a (NOT a) AND b.
y <=  a NAND b       -- é equivalente a NOT (a AND b)
  • Operadores de deslocamento (SHIFT)
  • SLL (Shift Left Logic) - Deslocamento a esquerda lógico (preenchimento com '0's das posições a direita.
  • SRL (Shift Right Logic) - Deslocamento a direita lógico (preenchimento com '0's das posições a esquerda.
  • SLA (Shift Left Arithmetic) - Deslocamento a esquerda aritmético (posições liberadas da direita mantém o bit lsb)
  • SRA (Shift Right Arithmetic) - Deslocamento a direita aritmético (posições liberadas da esquerda mantém o bit msb)
  • ROL (Rotate Left) - Deslocamento circular a esquerda ( o bit que sai na esquerda é retornado na direita)
  • ROR (Rotate Right) - Deslocamento circular a direita ( o bit que sai na direita é retornado na esquerda)
signal a: bit_vector(7 downto 0) := "01100101";  
y <= a SLL 2; -- y <= "10010100" (y <= a(5 downto 0) & "00";)
y <= a SLA 2; -- y <= "10010111" (y <= a(5 downto 0) & a(0) & a(0);)
y <= a ROL 2; -- y <= "10010101" (y <= a(5 downto 0) & a(7 downto 6);)
y <= a ROR 2; -- y <= "01011001" (y <= a(1 downto 0) & a(7 downto 2);)
Esses operadores são suportados nos tipos BIT_VECTOR, (UN)SIGNED. Em VHDL 2008 também para BOOLEAN_VECTOR, STD_(U)LOGIG_VECTOR, UFIXED e SFIXED.
  • Operador de concatenação (&)
Esse operador é suportado nos tipos BIT_VECTOR, STD_(U)LOGIG_VECTOR, STRING e (UN)SIGNED. Em VHDL 2008 também para INTEGER_VECTOR e BOOLEAN_VECTOR.
É utilizado para agrupar objetos como mostrado nos comentários dos exemplos anteriores
  • Operadores de comparação
São suportados nos tipos de dados: BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, STRING, SIGNED e UNSIGNED. Com VHDL 2008 também suportado para BOOLEAN_VECTOR, INTEGER_VECTOR, UFIXED, SFIXED e FLOAT.
Igualdade (=)
Diferença (/=)
Menor que (<)
Menor ou igual que  (<=)
Maior que (>)
Maior ou igual que (>=)
  • Operadores de comparação de associação (matching comparison)
Foram introduzidos no VHDL 2008, e tem o objetivo de tratar nos tipos baseados no STD_ULOGIC de forma igual os valores lógicos 'H'='1' e também 'L'='0', e 'X'='Z'='W'. São suportados nos tipos de dados: BIT, BIT_VECTOR, STD_(U)LOGIG, STD_(U)LOGIG_VECTOR, SIGNED e UNSIGNED. Com VHDL 2008 também suportado para UFIXED, SFIXED.
Igualdade (?=)
Diferença (?/=)
Menor que (?<)
Menor ou igual que  (?<=)
Maior que (?>)
Maior ou igual que (?>=)
Atributos em VHDL
  • Atributos de síntese:

Em VHDL existem diversos atributos de sintese que controlam a forma como o processo de "Analysis & Synthesis" é realizado pelo Quartus II. Uma listagem completa pode ser encontrada em:

  • ATTRIBUTE enum_encoding [4]
type fruit is (apple, orange, pear, mango);
attribute enum_encoding : string;
attribute enum_encoding of fruit : type is "11 01 10 00";
  • ATTRIBUTE chip_pin [5]
entity foo is 
   port (sel : in std_logic; 
      data : in std_logic_vector(3 downto 0);
      o : out std_logic);
end foo;
architecture rtl of foo is 
             
   attribute chip_pin : string;
   attribute chip_pin of sel : signal is "C4";
   attribute chip_pin of data : signal is "D1, D2, D3, D4";             
begin 
    -- Specify additional code 
end architecture;

O uso desse atributo sobrepõe a atribuição dos pinos através da IDE do Quartus II, e por isso não é recomendável.

O atributo de síntese chip_pin pode ser usado apenas em portas da entidade "top-level" do projeto. .

Encontro 20 (20 set.)
  • ATTRIBUTE keep [6]

O atributo de síntese KEEP, sinaliza ao processo de "Analysis & Synthesis" para manter intacto um determinado signal ou componente.

signal a,b,c : std_logic;
attribute keep: boolean;
attribute keep of a,b,c: signal is true;
  • Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
  • Exemplo 5.8 Gerador de Pulsos estreitos
signal a,b,c : std_logic;
attribute preserve: boolean;
attribute preserve of a,b,c: signal is true;
  • ATTRIBUTE noprune[9].
signal reg1: std_logic; 
attribute noprune: boolean; 
attribute noprune of reg1: signal is true;
Exemplo 4.5: Registros redundantes

Síntese sem e com os atributos keep, preserve e noprune

ENTITY redundant_registers IS
	 PORT (
		clk, x: IN BIT;
		y: OUT BIT);
 END ENTITY;
 
 ARCHITECTURE arch OF redundant_registers IS
	 SIGNAL a, b, c: BIT;
         
	 ATTRIBUTE keep: BOOLEAN;
	 ATTRIBUTE keep of a,b,c: SIGNAL IS FALSE;

	 ATTRIBUTE preserve: BOOLEAN;
	 ATTRIBUTE preserve OF a, b, c: SIGNAL IS FALSE;  

	 ATTRIBUTE noprune: BOOLEAN;
	 ATTRIBUTE noprune OF a, b, c: SIGNAL IS FALSE; 


 BEGIN
	 PROCESS (clk)
	 BEGIN
		 IF (clk'EVENT AND clk='1') THEN
			 a <= x;
			 b <= x;
			 c <= x;
		 END IF;
	 END PROCESS;
	 y <= a AND b;
 END ARCHITECTURE;

Após a compilação do código acima, observe o número de elementos lógicos obtidos, observe o Technology Map dos circuitos gerados e verifique a localização dos FFs no Chip Planner.


Figura 3.4 - Technology Map do circuito compilado sem Attribute
Ex4 5 NoAttribute.png
Fonte: Elaborado pelo autor.

Figura 3.5 - Technology Map do Circuito com Attribute Preserve (or Keep)
Ex4 5 PreserveAttribute.png
Fonte: Elaborado pelo autor.

Figura 3.6 - Technology Map do Circuito com Attribute Noprune
Ex4 5 NopruneAttribute.png
Fonte: Elaborado pelo autor.
Ver pag. 91 a 111 de [2]

Para cada atributo existe uma descrição completa como mostrado a seguir.

P'LEFT Kind: Value. 
Prefix: Any prefix P that is appropriate for an object with a scalar type or subtype T, or an alias thereof, or that denotes any scalar type or subtype T.
Result type: Same type as T.
Result: The left bound of T.

A seguir estão listados alguns dos atributos mais utilizados.

  • 16.2.2 Predefined attributes of types and objects (p.270)
P'LEFT - The left bound of T.
P'RIGHT - The right bound of T.
P'HIGH - The upper bound of T.
P'LOW -  The lower bound of T.
P'ASCENDING - It is TRUE if T is defined with an ascending range; FALSE otherwise.
P'LENGTH - maximum(0, T’POS(T’HIGH) – T’POS(T’LOW) + 1)
P'RANGE - The range T'LEFT to T'RIGHT if the range of T is ascending, or the range T'LEFT downto T'RIGHT if the range of T is descending
P'REVERSE_RANGE - The range T'RIGHT downto T'LEFT if the range of T is ascending, or the range T'RIGHT to T'LEFT if the range of T is descending
T'POS(X) - The position number of the value of the parameter
T'VAL(X) - The value whose position number is the universal_integer value corresponding to X.
  • 16.2.3 Predefined attributes of arrays (p.275)
A'LEFT [(N)] - Left bound of the Nth index range of A
A'RIGHT [(N)] -  Right bound of the Nth index range of A
A'HIGH [(N)] - Upper bound of the Nth index range of A
A'LOW [(N)] - Lower bound of the Nth index range of A. 
A'RANGE [(N)] - The range A'LEFT(N) to A'RIGHT(N) if the Nth index range of A is ascending, or the range A'LEFT(N) downto A'RIGHT(N) if the Nth index range of A is descending
A'REVERSE_RANGE [(N)] - The range A'RIGHT(N) downto A'LEFT(N) if the Nth index range of A is ascending, or the range A'RIGHT(N) to A'LEFT(N) if the Nth index range of A is descending.
A'LENGTH [(N)] - Number of values in the Nth index range
A'ASCENDING [(N)] - TRUE if the Nth index range of A is defined with an ascending range; FALSE otherwise.
  • 16.2.4 Predefined attributes of signals (p. 277)
S'EVENT - A value that indicates whether an event has just occurred on signal S.
S'LAST_VALUE - For a signal S, if an event has occurred on S in any simulation cycle, S'LAST_VALUE returns the value of S prior to the update of S in the last simulation cycle in which an event occurred; otherwise, S'LAST_VALUE returns the current value of S.

  • 16.2.5 Predefined attributes of named entities (p. 279)
E'SIMPLE_NAME - The simple name, character literal, or operator symbol of the named entity
Encontro 21 (22 set.)
  • Atributos definidos pelo usuário;
attribute attribute_name: attribute_type;
attribute attribute_name of entity_tag [signature]: entity_class is value;
  • Tipos definidos pelo usuário:
  • Escalares (Inteiros e Enumerados)
  • Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D. Ver : Array em VHDL


Exemplo 3.5: Array de Integers 1D x 1D

O código abaixo cria um array de inteiros e utiliza as entradas "row" para fazer a leitura dos dados em uma tabela declarada como constant.

entity array_1Dx1D_integer is
	port (
		row   : in integer range 1 to 3;
		slice : out integer range 0 to 15
	);
end entity;

architecture teste of array_1Dx1D_integer is
	type a1Dx1D_integer is array (1 to 3) of integer range 0 to 15;
	constant table : a1Dx1D_integer := (15, 5, 7);
begin
	slice <= table(row);
end architecture;

Responda as seguintes perguntas:

1) Faça um desenho que represente o ARRAY declarado acima.
2) Quantos bits são necessários para representar esse ARRAY?
3) Qual o valor na saída quando a entrada row = 2?
4) Quantos elementos lógicos são necessários para fazer a síntese deste circuito?
Exemplo 3.6: Array de bits 1D x 1D

O código abaixo cria um array de bits e utiliza as entradas "row" e "column" para fazer a leitura dos dados em uma tabela declarada como constant.

entity array_1Dx1D_bit is
  port (
    row : in integer range 1 to 3;
    column : in integer range 0 to 4; --3 bits
    slice1 : out bit;
    slice2 : out bit_vector(1 to 2);
    slice3 : out bit_vector(1 to 4);
    slice4 : out bit_vector(1 to 3)
  );
end entity;

architecture teste of array_1Dx1D_bit is
  type a1Dx1D_bit is array (1 to 3) of bit_vector(1 to 4);
  constant table : a1Dx1D_bit := 
  (('1', '1', '1', '1'), --15
  ('0', '1', '0', '1'), -- 5
  ('0', '1', '1', '1')); -- 7
begin
--  slice1 <= table(row)(column);
--  slice2 <= table(row)(1 to 2);
--  slice3 <= table(row));
--  slice4 <= table(1 TO 3)(column);
--  slice4 <= table(1)(column) & table(2)(column) & table(3)(column);

--  gen : for i in 1 to 3 generate
--    slice4(i) <= table(i)(column);
--  end generate;
end architecture;

Responda as seguintes perguntas:

1) Faça um desenho que represente o ARRAY declarado acima.
2) Quantos bits são necessários para representar esse ARRAY?
3) Descomente uma a uma as linhas na architecture. Verifique quais são aceitas pelo compilador? As 3 últimas formam um bloco único


Encontro 22 (29 set.)
Exemplo 3.7: Array de bits 2D

O código abaixo cria um array de bits e utiliza as entradas "row" e "column" para fazer a leitura dos dados em uma tabela declarada como constant.

entity array_2D_bits is
	port (
		row    : in integer range 0 to 3;
		column : in integer range 0 to 4; --3 bits
		slice1 : out bit;
		slice2 : out BIT_VECTOR(1 to 2);
		slice3 : out BIT_VECTOR(1 to 4);
		slice4 : out BIT_VECTOR(1 to 3)
	);
end entity;
 
architecture teste of array_2D_bits is
	type a2D_bits is array (1 to 3, 1 to 4) of bit;
	constant table : a2D_bits := (('1', '1', '1', '1'), 
		('0', '1', '0', '1'), ('0', '1', '1', '1')
	);
begin
	--slice1 <= table(row, column);
	--slice2 <= table(row, 1 TO 2);
	--slice3 <= table(row);
	--slice4 <= table(1 TO 3, column);
	--slice4 <= table(1, column) & table(2, column) & table(3, column)
	--gen : for i in 1 to 3 generate
	--	slice4(i) <= table(i, column);
	--end generate;
end architecture;

Responda as seguintes perguntas:

1) Faça um desenho que represente o ARRAY declarado acima.
2) Quantos bits são necessários para representar esse ARRAY?
3) Descomente uma a uma as linhas na architecture. Verifique quais são aceitas pelo compilador? As 3 últimas formam um bloco único

No exemplo acima, note que os limites da instrução for generate podem ser definidos usando os atributos do array. Assim a linha poderia ser descrita também usando os atributos do objeto ou do tipo:

gen : for i in 1 to 3 generate

Usando os atributos left e right da primeira dimensão do array (1 to 3) do objeto (table) ou tipo (a2D_bits)

gen : for i in table'left(1) to table'right(1) generate
gen : for i in a2D_bits'left(1) to a2D_bits'right(1) generate

Usando os atributos low e high

gen : for i in table'low(1) to table'high(1) generate
gen : for i in a2D_bits'low(1) to a2D_bits'high(1) generate

Usando os atributos range ou reverse_range

gen : for i in table'reverse_range(1) generate
gen : for i in table'range(1) generate
gen : for i in a2D_bits'reverse_range(1) generate
gen : for i in a2D_bits'range(1) generate

Usando o atributo length

gen : for i in 1 to table'length(1) generate
gen : for i in 1 to a2D_bits'length(1) generate
Notas importantes

A retirada de fatias (SLICES) dos ARRAYs só pode ser feita se o array foi definido com um vetor de vetores (1Dx1D ou 1Dx1Dx1D). Ainda assim é necessário respeitar a ordem dos índices do VETOR. No caso abaixo é ascendente (TO), e dentro dos limites (1 to 4).

type a1Dx1D_bit is array (1 to 3) of BIT_VECTOR(1 to 4); 
  • A retirada de fatias (SLICES) dos ARRAYs por coluna ou em ARRAY 2D ou 3D pode ser feita usando a retirada de elemento a elemento e concatenando-os ou atribuindo-os diretamente ao vetor de saída.
type a2D_bits is array (1 to 3, 1 to 4) of bit;
type a3D_bits is array (1 to 3, 1 to 4, 1 to 2) of bit;
Concatenando por linha (ROW) ou coluna (COLUMN).
 slice3 <= table(row, 1) & table(row, 2) & & table(row, 3) & & table(row, 4);
 slice4 <= table(1, column) & table(2, column) & table(3, column);
Amostrando elemento a elemento por linha (ROW) ou coluna (COLUMN
 gen1 : for j in 1 to 4 generate
  	  slice3(j) <= table(row, j);
	end generate;
 gen2 : for i in 1 to 3 generate
         slice4(i) <= table(i, column);
       end generate;

Como usar ARRAYs em portas?:

  • Declaração do TYPE em PACKAGE
  • Exemplo 3.8: Multiplexador com porta 1D x 1D.::
-----Package:------------
-- File: my_pkg.vhd
-------------------------
package my_data_types is
	type a1Dx1D_bit_vector is array (0 to 3) of BIT_VECTOR(7 downto 0);
end my_data_types;

-----Main code: --------
-- File: mux1Dx1D.vhd
-------------------------
use work.my_data_types.all;

entity mux1Dx1D is
	port (
		x   : in a1Dx1D_bit_vector;
		sel : integer range 0 to 3;
		y   : out BIT_VECTOR(7 downto 0)
	);
end entity;

architecture pedroni of mux1Dx1D is
begin
	y <= x(sel);
end architecture;

Ver pag. 60 a 73 de [2]

A declaração de RECORD

Enquanto que em um ARRAY todos os elementos devem ser obrigatoriamente do mesmo tipo, em um RECORD (Registro) os elementos podem ser de tipos diferentes.

type memory_access is record
address : integer range 0 to 255;
block   : integer range 0 to 3;
data    : BIT_VECTOR(15 downto 0);
end record;
--Escrita no RECORD
constant endereco : memory_access := (34, 3, "010011110101011");

--Acesso ao RECORD
signal address_lido : integer range 0 to 255;
signal block_lido   : integer range 0 to 3;
signal data_lido    : bit_vector(15 downto 0);
address_lido <= endereco.address;
block_lido   <= endereco.block;
data_lido    <= endereco.data;


Um exemplo de uso do RECORD é:

 
entity record_example is
	port (
		flag : out BIT_VECTOR(1 to 4);
		sum  : out natural range 0 to 15
	);
end entity;

architecture record_example of record_example is
	type pair is record
	a, b : natural range 0 to 7;
end record;
type stack is array (1 to 4) of pair;
constant matrix : stack := ((1, 2), (3, 4), (5, 6), (7, 0));
begin
	gen : for i in 1 to 4 generate
		flag(i) <= '1' when matrix(i).a > matrix(i).b else '0';
	end generate;
	sum <= matrix(1).a + matrix(1).b;
end architecture;
As declarações de SUBTYPE

A declaração de SUBTYPE é usada para restringir as declarações de TYPE. Abaixo estão alguns exemplos.

subtype natural is integer range 0 to integer'HIGH;
subtype positive is integer range 1 to integer'HIGH;
subtype my_integer is integer range - 32 to 31;
Uso da declaração ALIAS

A declaração ALIAS define um nome alternativo para uma entidade ou objeto.

 ALIAS new_name [: specifications] IS original_name [signature];
  • Alguns exemplos do uso do ALIAS para objetos (SIGNAL).
SIGNAL data_bus: STD_LOGIC_VECTOR(31 DOWNTO 0);

--bus1 is a new name for data_bus:
ALIAS bus1 IS data_bus;

--bus2 is a new name for data_bus, but with a modified range:
ALIAS bus2: STD_LOGIC_VECTOR(32 DOWNTO 1) IS data_bus;

--bus3 is another name for data_bus, with an ascending range:
ALIAS bus3: STD_LOGIC_VECTOR(1 TO 32) IS data_bus;

--upper_bus1 is a new name for the upper half of data_bus
ALIAS upper_bus1 IS data_bus(31 DOWNTO 16);

--upper_bus2 is a new name for the upper half of data_bus, but
--with a modified range:
ALIAS upper_bus2: STD_LOGIC_VECTOR(17 TO 32) IS data_bus(31 DOWNTO 16);

--lower_bus1 is a new name for the lower half of data_bus
ALIAS lower_bus1 IS data_bus(15 DOWNTO 0);

--lower_bus2 is a new name for the lower half of data_bus, but
--with a modified range:
ALIAS lower_bus2: STD_LOGIC_VECTOR(1 TO 16) IS data_bus(15 DOWNTO 0);
  • Ver pag. 112 a 113 de [2]
  • Veja um exemplo de uso de alias no pacote numeric_std.vhd
  function ADD_UNSIGNED (L, R: UNSIGNED; C: STD_LOGIC) return UNSIGNED is
    constant L_LEFT: INTEGER := L'LENGTH-1;
    alias XL: UNSIGNED(L_LEFT downto 0) is L;
    alias XR: UNSIGNED(L_LEFT downto 0) is R;
    variable RESULT: UNSIGNED(L_LEFT downto 0);
    variable CBIT: STD_LOGIC := C;
  begin
    for I in 0 to L_LEFT loop
      RESULT(I) := CBIT xor XL(I) xor XR(I);
      CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I));
    end loop;
    return RESULT;
  end ADD_UNSIGNED;
  • NOTA: No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0). Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
Sobrecarga de operadores


function "+" (a : integer; b : bit) return integer is
		begin
			if (b = '1') then return a + 1;
			else
				return a;
			end if;
end "+";

function "+" (a : integer; b : std_logic) return integer is
		begin
			if (b = '1') then return a + 1;
			else
				return a;
			end if;
end "+";
Desafio 4
Completar o contador de vagas (interrompido em aula anterior) usando a sobrecarga do operador "+".
Desafio 5
Completar o contador de vagos usando um numero inteiro (1 para vaga, 0 para ocupado) nas entradas no lugar dos bits.

Unidade 4 - Código Concorrente

  • 4 ENCONTROS
Unidade 4 - Código Concorrente
Encontro 24 (3 out.)
  • Código Concorrente.
  • Uso de Operadores
  • instrução WHEN-ELSE (WHEN)
<optional_label>: <target> <= 
	<value> when <condition> else
	<value> when <condition> else 
	<value> when <condition> else
	...
	<value>;
  • Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
Warning (13012): Latch ... has unsafe behavior
  • No QuartusII existe um template pronto para ser utilizado em: [Edit > Insert Template > Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]
  • instrução WITH-SELECT-WHEN (SELECT)
<optional_label>: with <expression> select
	<target> <= 
		<value> when <choices>,
		<value> when <choices>,
		<value> when <choices>,
	 	...
		<value> when others;
  • Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES, ou erros de análise.
Error (10313): VHDL Case Statement error ...: Case Statement choices must cover all possible values of expression
  • No QuartusII existe um template pronto para ser utilizado em: [Edit > Insert Template > Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]. Mas ATENÇÃO, faltam as virgulas após cada escolha.
Exemplo 5.1 + 5.2

Exemplo de mux usando 3 tipos de arquiteturas: com portas discretas, com WHEN-ELSE e com WITH-SELECT

---------------------------
-- FILE mux4x1.vhd --
---------------------------
library ieee;
use ieee.std_logic_1164.all;

entity mux4x1 is
	port 
	(
		x0, x1, x2, x3 : in STD_LOGIC;
		sel            : in STD_LOGIC_VECTOR(1 downto 0);
		y              : out STD_LOGIC
	);
end entity;

architecture operators_only of mux4x1 is
begin
	y <= (not sel(1) and not sel(0) and x0) or
		(not sel(1) and sel(0) and x1) or
		(sel(1) and not sel(0) and x2) or
		(sel(1) and sel(0) and x3);
end architecture;

architecture operators_only_alias of mux4x1 is
	alias s1 is sel(1);
	alias s0 is sel(0);
begin
	y <= (not s1 and not s0 and x0) or
		(not s1 and s0 and x1) or
		(s1 and not s0 and x2) or
		(s1 and s0 and x3);
end architecture;

architecture WHEN_ELSE of mux4x1 is
begin
	y <= x0 when sel = "00" else
        x1 when sel = "01" else
	     x2 when sel = "10" else
		  x3;
end architecture;

architecture WITH_SELECT of mux4x1 is
begin
	with sel select
	y <= x0 when "00", 
	     x1 when "01",
	     x2 when "10",
		  x3 when others;
end architecture;

configuration which_mux of mux4x1 is
--	for operators_only end for;
--	for operators_only_alias end for;
	for WHEN_ELSE end for;
--	for WITH_SELECT end for;
end configuration;
  • Verifique os três circuitos (RTL e Technology Map) considerando as entradas x0 a x3 e a saída y com apenas um elemento.
  • Mude a entrada x para STD_LOGIC_VECTOR(3 downto 0). Como poderia ser feito o código para que pudesse ser selecionada uma entrada entre N = 2^M.
  • Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
  • No caso do uso de WHEN_ELSE e WITH_SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
  • Qual é a solução para a descrição com portas? Veja se consegue implementar uma solução.
  • Note que para associar uma entre várias arquiteturas para a mesma ENTITY está sendo utilizada a instrução CONFIGURATION. A ARCHITECTURE que está descomentada é a que será associada a ENTITY. Caso não se use a instrução CONFIGURATION, a última será a ARCHITECTURE utilizada. Importante todas as ARCHITECTURE devem estar sintaticamente corretas pois o configuration apenas faz a associação
configuration which_mux of mux4x1 is
--	for operators_only end for;
--	for operators_only_alias end for;
	for with_WHEN end for;
--	for with_SELECT end for;
end configuration;
Ver pag. 121 a 127 de [2]
Encontro 25 (4 out.)
  • Uso da instrução FOR-GENERATE
 
label: FOR identificador IN faixa GENERATE
   [Parte_Declarativa
BEGIN]
   Instruções_concorrentes
   ...
END GENERATE [label];
  • Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
---------------------
-- FILE my_pkg.vhd --
---------------------
library ieee;
use ieee.std_logic_1164.all;

package my_pkg is
	type a_slv	is array(natural range <>) of std_logic_vector (3 downto 0);
end package;
---------------------------
-- FILE vector_adder.vhd --
---------------------------
library ieee work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.my_pkg.all;

entity vector_adder is
	generic (N : natural := 4);
	port (
		a	  : in a_slv (0 to N-1);
		soma : out std_logic_vector (3 downto 0));
end entity;

-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.

architecture ifsc_v1 of vector_adder is
	signal soma_sig : unsigned(3 downto 0);
begin
	soma_sig <= unsigned(a(0)) + unsigned(a(1)) + unsigned(a(2)) +  unsigned(a(3));
	soma <= std_logic_vector(soma_sig);
end architecture;

-- Versão que realiza a soma usando um FOR GENERATE
architecture ifsc_v2 of vector_adder is
	
begin

end architecture;
---------------------------
-- FILE vector_adder.vhd --
---------------------------
configuration ifsc_cfg of vector_adder is
	-- for ifsc_v1 end for;
	for ifsc_v2 end for;
end configuration;
Encontro 26 (6 out.)
  • Conhecer o Código Gray
  • Construir um incrementador para código Gray (inc4gray)
  • Implementação de conversor Binário para Gray (bin2gray)
-------------------------
-- File: bin2gray.vhd  --
-------------------------
entity bin2gray is
	generic (N : natural := 4 )
	port
	(
		g  : out std_logic_vector(____)
		b  : in std_logic_vector(____)
	)
end entity

architecture ifsc_v1 of ____ is
begin

end architecture
architecture ifsc_v2 of ____ is
begin

end architecture
  • Implementação de conversor Gray para Binário (gray2bin)
-------------------------
-- File: gray2bin.vhd  --
-------------------------
entity gray2bin is
	generic (N : natural := 4 )
	port
	(
		g  : in std_logic_vector(____)
		b  : out std_logic_vector(____)
	)
end entity

architecture ifsc_v1 of ____ is
begin

end architecture
architecture ifsc_v2 of ____ is
begin

end architecture


Encontro 27 (10 out.)

Outros exemplos a serem estudados:

  • Porta AND e NAND generica (uso do FOR GENERATE)
  • Detector de paridade
  • Unidade de Aritmética UA).
  • Escreva o código VHDL que implemente a ALU mostrada no diagrama abaixo. Use operações do tipo SIGNED. As entradas a e b e saída y ter o número de bits definido através de GENERIC, e ser do tipo STD_LOGIC_VECTOR. Faça simulação funcional para os diferentes OPCODE.
----------------------
-- File: alu.vhd    --
----------------------

entity ua is
	generic (N : natural := 4); 
	port 
	(
		a, b   : in std_logic(? downto 0);
		cin    : in std_logic;
		opcode : in std_logic(? downto 0);
		y      : out std_logic(? downto 0)
	);
end entity;

architecture alu of alu is
begin

end architecture;

Figura 3.9 - Unidade de Lógica e Aritmética
Alu pedroni.png
Fonte: pag. 127 [2].
  • Ver pag. 127 a 134 de [2]
Encontro 28 (17 out.)
Aula de exercícios

Unidade 5 - Código Sequencial

  • 7 ENCONTROS
Unidade 5 - Código Sequencial
Encontro 29 (18 out.)
  • Código Sequencial.
  • Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
  • Diferenças entre os objetos SIGNAL e VARIABLE
  • Tipos de elementos de memória: Latch x Flip-flop
  • Latch D
  • Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
  • Seção de código sequencial PROCESS: lista de sensibilidade
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
             [parte_declarativa]
         BEGIN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         END PROCESS [rótulo];
  • Instrução IF
[rótulo:] IF condição THEN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         ELSIF condição THEN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         ELSE
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         END IF [rótulo];
  • Exemplos:
  • DFFs com Reset Assincrono e Reset Sincrono, com Enable, com Preset (Variação Ex 6.1).
--Flip Flop tipo D com reset assincrono, sensivel a borda de subida.
process (clock,reset)
begin
   if (reset = '1') then
      q <= '0';
-- elsif (clock'event and clock = '1') then or
   elsif (rising_edge(clock)) then
      q <= d;
   end if;
end process;

Figura 5.1 - RTL de Flip-flop D de borda de subida, com reset assíncrono
FF D RST.png
Fonte: Elaborado pelo autor.
--Flip Flop tipo D com preset assincrono e sinal de enable, sensivel a borda de descida.
process (clock, preset)
begin
   if (preset = '1') then
      q <= '1';
   elsif (falling_edge(clock)) then
      if (enable = '1') then
         q <= d;
      end if;
   end if;
end process;

Figura 5.2 - RTL de Flip-flop D de borda de descida, com preset assíncrono e enable
FF D PRST.png
Fonte: Elaborado pelo autor.
  • Comparar com Latch (sem clk'event).
--Latch tipo D com reset assincrono.
process (enable, reset, d)
begin
   if (reset = '1') then
      q <= '0';
   elsif (enable='1')) then
      q <= d;
   end if;
end process;

Figura 5.3 - RTL de Latch D de com reset assíncrono e enable ativo alto
LATCH D RST.png
Fonte: Elaborado pelo autor.
  • Na figura abaixo, note que o Latch é implementado utilizando a LUT do elemento lógico do FPGA, enquanto que o Flip-flop utiliza o componente já disponível neste elemento lógico.
  • Evite os latches no projeto

Figura 5.4 - Comparação do Technology Map de um Latch_D (esquerda) com FF_D (direita)
FF D LATCH D.png
Fonte: Elaborado pelo autor.
-- Flip Flop tipo D com reset síncrono sensível a borda de subida. 
-- Modifique a descrição para que o reset_ass seja assíncrono e reset_sinc seja síncrono.
-- Note que a função rising_edge(clock) é equivalente a (clock'event and clock'last_value = '0' and clock = '1'))

process (clock, reset)
begin
   if (reset = '1') then
      q <= '0';
   elsif (clock'event and clock'last_value = '0' and clock = '1')) then
      q <= d;
   end if;
end process;

Figura 5.5 - RTL do Flip-flop D com reset assíncrono e reset síncrono
FF D RST SINC ASINC.png
Fonte: Elaborado pelo autor.
  • Exercício: Implemente um registrador com N FF_D no lugar de um único FF_D.

Figura 5.6 - RTL do Registrador (de 4 bits) com reset assíncrono
REG RST.png
Fonte: Elaborado pelo autor.

Figura 5.7 - Techonogy Map do Registrador (de 4 bits) com reset assíncrono
TM REG RST.png
Fonte: Elaborado pelo autor.
  • Faça a simulação funcional do DFFs e do Latch


Encontro 21 (20 out.)
  • Contador crescente 0-N (baseado no Ex.6.2), com N = 2^Mbits-1 (1 3 7 15)
  • Com overflow no valor máximo
  • Contador crescente 0-N (baseado no Ex.6.2), com N =/= 2^Mbits (5, 10)
  • Com overflow no valor máximo
Encontro 33 (31 out.)
  • Converter os contadores para modo decrescente 0-N
  • Com underflow no valor máximo
  • Modificar os contadores para pararem no valor máximo(crescentes) e mínimo(decresces).
  • DESAFIO: Faça um contador que conte de 0 a N, mas que seja crescente ou decrescente controlado por uma entrada DIR.
  • Se DIR = '1' a contagem é crescente se DIR = '0' a contagem é decrescente.
  • Ao atingir o valor máximo (ou mínimo) o contador deverá fazer overflow para o valor 0 (ou N).


Encontro 34 (1 nov.)

Instruções do tipo LOOP: LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT

  • Instrução LOOP incondicional:
[rótulo:] LOOP
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Instrução FOR-LOOP:
[rótulo:] FOR identificador IN faixa LOOP
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Instrução WHILE-LOOP:
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Instrução LOOP com EXIT:
[rótulo:] [FOR identificador IN faixa] LOOP
             afirmação_sequencial;
             EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Instrução LOOP com NEXT:
[rótulo:] [FOR identificador IN faixa] LOOP
             afirmação_sequencial;
             NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
                                               -- e incrementa o "identificador".
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Instrução CASE
 [rótulo:] CASE expressão IS
                  WHEN valor => atribuições;                            -- valor único
                  ...
                  WHEN valor1 | valor2 | ... | valorN  => atribuições;  -- lista de valores
                  ...
                  WHEN valor1 TO valor2    => atribuições;              -- faixa de valores
                  ...

          END CASE;
  • Exemplos:
  • Leading Zeros (LOOP com EXIT) (Ex 6.5) - Esse circuito deve determinar quantos zeros tem em um vetor de entrada Vin antes do primeiro bit '1', começando da esquerda para a direita.
  • Fazer a síntese do circuito; fazer simulação no Modelsim; analisar a forma como o compilador implementou o incrementador.
entity leading_zeros is
	generic (N : natural := 8);
	port
		(	________ : in std_logic_vector(0 to N-1);
			count	: out integer range 0 to N
		);
end entity;

architecture ____ of leading_zeros is

begin
	process (data)
	variable count : integer ____ 0 to N
	begin
		count := 0;
		for i ___ data'range ____
			case data(i) is
				when '0' => count := count + 1;
				when _____ => exit;
			end  ___
		end ____
		zeros <= count;
	end process;
end _______;
  • Contador de zeros (FOR LOOP) - Esse circuito deve determinar quantos zeros tem em um vetor de entrada Vin.
  • Fazer a síntese do circuito; fazer simulação no Modelsim; analisar a forma como o compilador implementou o incrementador.
  • Ver pag. 161 a 164 de [2]
Encontro 35 e 36 (7 e 9 nov.)
  • Carry-Ripple Adder (FOR-LOOP) (Ex 6.4) - apenas analisar.
  • Um somador do tipo carry ripple utiliza o bloco básico full adder para construir somadores de qualquer número de bits. Os bits carry são adicionados aos blocos posteriores, produzindo um hardware combinário.
  • O full adder é baseado nas funções.
onde é o carry out, é o carry in

Esse somador pode ser implementado tanto com código sequencial como com código concorrente. Note que no primeiro caso o bit de carry se definido como variable pode ser reaproveitado. No segundo caso é necessário criar um vetor completo para conectar os carry_out de um estágio ao carry_in do próximo.

library ieee;
use ieee.std_logic_1164.all;

entity carry_ripple_adder is
	generic (N : integer := 3);
	port (
		a, b : std_logic_vector (N-1 downto 0);
		cin  : std_logic;
		s    : out std_logic_vector (N-1 downto 0);
		cout : out std_logic
	);
end entity;

architecture estrutural_sequencial_v1 of carry_ripple_adder is
begin
	-- Uso de um codigo sequencial para geracao de um circuito combinacional
	process (a, b, cin) is
		variable c : std_logic;
	begin
		c := cin;
		for i in 0 to N-1 loop
			s(i) <= a(i) xor b(i) xor c;
			c := (a(i) and b(i)) or (a(i) and c) or (b(i) and c);
		end loop;
		cout <= c;
	end process;
end architecture;

architecture estrutural_concorrente_v1 of carry_ripple_adder is
	signal c : std_logic_vector(N downto 0);
begin
	-- Uso de um codigo concorrente para geracao de um circuito combinacional
	c(0) <= cin;
	l1: for i in 0 to N-1 generate
		s(i) <= a(i) xor b(i) xor c(i);
		c(i+1) <= (a(i) and b(i)) or (a(i) and c(i)) or (b(i) and c(i));
	end generate;
	cout <= c(N);
end architecture;

Figura 5.1 - RTL do carry_ripple_adder de 3 bits
RTL carry ripple adder3.png
Fonte: Elaborado pelo autor.
  • Instrução WAIT: WAIT UNTIL, WAIT FOR (simulação apenas), WAIT ON (não implementada no Quartus II).
 [rótulo:] WAIT UNTIL <condition>;
 [rótulo:] WAIT ON sensitivity_list;
 [rótulo:] WAIT FOR time_expression;
Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
Algumas instruções de WAIT serão utilizadas na criação de TestBench em VHDL para a simulação com o MODELSIM.
  • Projetar um contador em BCD entre 0 e 99 (countBCD_DU.vhd), com entrada de clock, reset e saidas unidade(0 a 9) e dezena (0 a 9). Projete o contador para parar em qualquer valor de DU onde D é a dezena e U é a unidade.
  • versão 1 - contagem crescente.

Figura 5.2 - RTL do contador BCD 00 a 99
RTL countBCD00-99.png
Fonte: Elaborado pelo autor.

Figura 5.3 - Simulação do contador BCD 00 a 99
MODELSIM countBCD00-99.png
Fonte: Elaborado pelo autor.
  • Projetar um divisor de clock que dado um clock de entrada de f Hz, produza na saída um sinal de clock de f/N onde N é um número inteiro (div_clk_N)
  • Projetar um conversor de BCD para SSD - display de sete segmentos (BCD2SSD.vhd). O circuito deve ter uma entrada que permite escolher se o display é do tipo anodo comum ou catodo comum.

Unidade 6 - Projeto a nível de Sistema

  • 3 ENCONTROS
Unidade 6 - Projeto a nível de Sistema
Encontro 37 (14 nov.)
  • Projeto a nível de Sistema.
  • O PACKAGE e PACKAGE BODY: onde declarar e como usar.
  • O COMPONENT: declaração (cópia da ENTITY) e instanciação.

Assim a entity contador_bcd_00_99

entity  countBCD_DU is
  generic (max_D : natural := 9; max_U : natural := 9);
  port (
    clk, rst : in std_logic;
    clk_out : out std_logic;
    bcd_D, bcd_U : out std_logic_vector(3 downto 0)
  );
end entity;

Será declarada como um COMPONENT

component  countBCD_DU is
  generic (max_D : natural := 9; max_U : natural := 9);
  port (
    clk, rst : in std_logic;
    clk_out : out std_logic;
    bcd_D, bcd_U : out std_logic_vector(3 downto 0)
  );
end component;
  • Associação dos nomes das portas aos sinais. PORT -> PORT MAP:
  • Mapeamento por posição e nominal.
comp1 : countBCD_DU
GENERIC MAP    (5, 9)		
PORT MAP       (clk,rst, clk1sec, bcd_dezena, bcd_unidade);
comp1 : contador_bcd_00_99
GENERIC MAP    ( max_D => 5, max_U => 9) 		
PORT MAP       ( clk => clk,
		 rst => rst,
		 clk_out => clk1sec,
		 bcd_D => bcd_dezena,
		 bcd_U => bcd_unidade);
  • Métodos de declaração de COMPONENT.
  • Criação de COMPONENT redimensionáveis. GENERIC -> GENERIC MAP
  • Exemplo: Porta NAND de 8 entradas e de 40 entradas, baseado em uma porta NAND genérica.

Figura 5.1 - RTL portas NAND genéricas
NAND8&NAND40.png
Fonte: Elaborado pelo autor.
  • Construir o projeto do contador_ssd_00_99 usando componentes projetados anteriormente

Figura 5.2 - RTL do contador_ssd_00_99 usando componentes
Contador ssd 00 99 TL.png
Fonte: Elaborado pelo autor.
Ver também


Encontro 38 (17 nov.)
Encontro 39 (20 nov.)
  • Instanciação de COMPONENT com GENERATE.
gen: for i in 0 to 7 generate
   comp: flip_flop port map (clk, rst, d(i), q(i));
end generate;
  • Uso da instrução CONFIGURATION.
  • Ligação direta: ARCHITECTURE-ENTITY.
  • Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
  • FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.

A instrução ASSERT é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a síntese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:

[rótulo:] assert condição_booleana
[report mensagem]
[severity nivel_severidade];

A mensagem pode ser criada usando STRINGs que podem ser concatenadas.

O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou FAILURE. ERROR é o valor "default" [10].

  • A FUNCTION: declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.
function nome_funçao (lista_parametros_entrada) return tipo_saida is
   declarações
begin
   afirmações sequenciais
   return value; 
end function;
  • A PROCEDURE: declaração e uso
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
   declarações
begin
   afirmações sequenciais
end procedure;
Exemplos de uso ASSERT, FUNCTION e PROCEDURE
  • Exemplo: Cálculo do log2 de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
function log2c (n : integer) return integer is
	variable m , p : integer;
begin
	m := 0;
	p : = 1;
	while p < n loop
		m : = m + 1;
		p := p * 2;
	end loop;
	return m;
end log2c;
  • Exemplo: Declaração de FUNCTION em ARCHITECTURE Ex.9.1
  • Aplicação no projeto do timer0_9
  • Exemplo: Declaração em FUNCTION PACKAGE Ex. 9.2
-- FILE: comparator.vhd
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.

library ieee;
use ieee.numeric_std.all;
use work.my_package.all;
entity organizer is
	generic (size : natural := 3);
	port
	(
		x  : in UNSIGNED(2 to 5);
		y  : out UNSIGNED(size - 1 downto 0)
	);
end entity;
architecture organizer of organizer is
begin
	y <= order_and_fill(x, size);
end architecture;
-- FILE: my_pkg.vhd
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010. 608 p. ISBN 9780262014335

library ieee;
use ieee.numeric_std.all;
package my_package is
	function order_and_fill (input : UNSIGNED; bits : natural) return UNSIGNED;
end package;

package body my_package is
	function order_and_fill (input : UNSIGNED; bits : natural) return UNSIGNED is
		variable a : UNSIGNED(input'LENGTH - 1 downto 0);
		variable result : UNSIGNED(bits - 1 downto 0);
	begin
		assert (input'LENGTH <= bits)
			report "Improper input size!"
			severity FAILURE;
		if (input'LEFT > input'RIGHT) then
			a := input;
		else
			for i in a'range loop
				a(i) := input(input'LEFT + i);
			end loop;
		end if;
		if (a'LENGTH < bits) then
			result(bits - 1 downto a'LENGTH) := (others => '0');
			result(a'LENGTH - 1 downto 0) := a;
		else
			result := a;
		end if; 
		return result;
	end function;
end package body;
  • Exemplo: min_max Ex.9.4

Figura 6.1 - Fluxograma da PROCEDURE min_max
FluxogramMinMax.png
Fonte: pag. 232 de [2].
-- FILE: comparator.vhd
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.

use work.my_package.all;

entity comparator is
	port
	(
		a, b, c   : in integer range 0 to 255;
		min, max  : out integer range 0 to 255
	);
end entity;

architecture comparator of comparator is
begin
	min_max(a, b, c, min, max);
end architecture;
-- FILE: my_pkg.vhd
-- Fonte: PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2 ed. Massachusetts-EUA:MIT, 2010.


package my_package is
	procedure min_max (
		signal a, b, c : in integer;
		signal min, max : out integer
	);
end package;
package body my_package is
	procedure min_max (
		signal a, b, c : in integer range 0 to 255;
		signal min, max : out integer range 0 to 255) is
	begin
		if (a >= b) then
			if (a >= c) then
				max <= a;
				if (b >= c) then
					min <= c;
				else
					min <= b;
				end if;
			else
				max <= c;
				min <= b;
			end if;
		else
			if (b >= c) then
				max <= b;
				if (a >= c) then
					min <= c;
				else min <= a;
				end if;
			else
				max <= c;
				min <= a;
			end if;
		end if;
	end procedure;
end package body;
  • Ver outros exemplos
  • Exemplo: Registrador de deslocamento (variar o tamanho do registrador
  • Exemplo: Registrador Circular Ex. 8.2
  • Exemplo: Porta E com N entradas.
  • Exemplo: Detector de Paridade Ex. 8.3
  • Ver pag. 201 a 213 de [2]

Unidade 7 - Maquinas de Estado Finitas

  • 4 ENCONTROS
Unidade 7 - Maquinas de Estado Finitas
Encontro 39 (24 nov.)
  • O que é uma FSM - Finite State Machine

Figura 7.1 - Exemplo de diagrama de estados de uma FSM Fig11.1(a) e implementação em hardware da FSM Fig11.2(a)
Fig11 1 FSM.png
Fonte: Figura 11.1 e 11.2 de [2].
  • Modelo básico em VHDL de FSM
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
----------------------------------------------------------
ENTITY < entity_name > IS
PORT (
	clk, rst : IN STD_LOGIC;
	entradas : IN < data_type > ;
	saidas : OUT < data_type >);
END entity;
----------------------------------------------------------
ARCHITECTURE < architecture_name > OF < entity_name > IS
	TYPE state IS (A, B, C, ...);
	SIGNAL pr_state, nx_state : state;
	-- ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
	-- ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
	-- ATTRIBUTE SYN_ENCODING OF state : TYPE IS "safe";
BEGIN
	------Logica Sequencial da FSM:------------
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			pr_state <= A;
		ELSIF rising_edge(clk) THEN
			-- apenas na borda do "clk" ocorre a mudança de estado da FSM
			pr_state <= nx_state;
		END IF;
	END PROCESS;
	------Logica Combinacional da FSM:------------
	PROCESS (pr_state, entradas)
	BEGIN
		------Valores default das saidas------------
                saidas <= < valor > ;
		CASE pr_state IS
			WHEN A =>
				-- é necessário um  WHEN para definir as "saidas" durante cada estado 
				-- e analisar as "entradas" para definir o próximo estado
				saidas <= < valor > ;   -- apenas se diferente do valor default
				IF (entradas = < valor >) THEN
					nx_state <= B;
					...
				ELSE
					nx_state <= A;
				END IF;
			WHEN B =>
				saidas <= < valor > ; -- apenas se diferente do valor default
				-- dependendo das "entradas", pode ser que hajam mais de um estados de destino
				IF (entradas = < valor >) THEN
					nx_state <= C;
				ELSIF (entradas = < valor >) THEN
					nx_state <= A;
				ELSE
					nx_state <= B;
				END IF;
			WHEN C =>
				saidas <= < valor > ; -- apenas se diferente do valor default
				-- a passagem para outro estado pode não depender de nenhuma "entrada"
				nx_state <= D;
			WHEN ...


		END CASE;
	END PROCESS;
	------Seção de Saída (opcional):-------
	-- Essa seção visa garantir que a saida new_output esteja sincronizada com o clk.  
	-- Se isso não for importante, ela pode ser suprimida
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			new_output <= < valor > ;
		ELSIF rising_edge(clk) THEN --or falling_edge(clk)
			new_output <= output;
		END IF;
	END PROCESS;
END architecture;
Dica
Se ao discrever uma FSM, faltar algum estado, o Quartus dará erro durante a compilação. Para resolver temporariamente isso pode se usar antes de encerrar o case
	WHEN others => 
		nx_state <= <initial_state>;
  • Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
  • Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados

Ver pag. 277 a 280 de [2]
Note que o uso da atribuição manual do enum_encoding pode faz com que o compilador do Quartus não reconheça a FSM, mas use logica regular para representar o sistema.
  • Máquina de vender doces
  • Use o template da FSM para modelar o sistema mostrado na figurar a seguir.

Figura 7.2 - FSM - Máquina de vender doces (diagrama de estados)
FSM MVD.png
Fonte: Adaptado da Figura 11.3 de [2].

Figura 7.3 - FSM - Máquina de vender doces (diagrama de estados com default
FSM MVD default.png
Fonte: Elaborado pelo autor.
  • Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.

Figura 7.4 - FSM - Máquina de vender doces (simulação)
FSM tb40.png
Fonte: Elaborado pelo autor.
  • Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
Ver pag. 281 a 282 de [2]
Dica: para desenhar a FSM recomendo utilizar um software on-line para tal finalidade. Por Ex. Visual Paradigm.


Encontro 40 (28 nov.)
  • O problema de oscilando entre os estados em FSM.

Figura 7.5 - FSM - alarme (oscilando entre os estados quando remoto é 1)
Alarme erro.png
Fonte: Adaptado de Figura 11.9(a) de [2].
  • Solução através do uso de FLAG

Figura 7.6 - FSM - alarme (solução com flag)
Alarme flag.png
Fonte: Adaptado de Figura 11.9(b) de [2].
  • Solução através do uso de estados de WAIT adicionais.

Figura 7.7 - FSM - alarme (solução com estados de WAIT)
Alarme wait.png
Fonte: Adaptado de Figura 11.9(c) de [2].

Figura 7.8 - Simulação da FSM - alarme (solução com estados de WAIT)
Simulation alarme wait.png
Fonte: Elaborado pelo autor.
Ver pag. 292 a 297 de [2]
  • Exercício: Faça o download do arquivo simple_car_alarm.qar, disponível no Moodle da disciplina e realize as seguintes avaliações:
  1. Perceba que existem 4 versões para o mesmo Alarme de Carro. Realize a simulação no ModelSim das 4 versões e perceba qual é a diferença de funcionamento desses circuitos.
  2. Anote o número de elementos em cada versão.
  3. Qual versão é a mais adequada na sua opinião?
  4. Modifique a sua escolha para que o alarme não possa ser ativado se o "sensor" estiver em "1".
  5. Analise o arquivo tb_vX.do e modifique-o para testar também essa nova condição. A simulação deve obrigatoriamente fazer o sistema passar por todos os estados e todas as transições.
  6. Implemente a nova versão como uma nova arquitetura "fsm_v5", e escrever o arquivo de simulação "tbv5.do".
  7. Salve as telas da simulação ("v5_sim.png"), tela da fsm ("v5_fsm.png"), tela do RTL ("v5_rtl.png").
  8. Acrescente os novos arquivos no projeto e salve o novo .qar
  • Projeto de FSM temporizadas (nas quais as transições são ativadas também pelo tempo).

Figura 7.9 - Tipos de FSM (Condicional, Temporizada e Hibrida)
FSM type.png
Fonte: Figura 11.12 de [2].
  • Modelo de FSM temporizada
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
----------------------------------------------------------
ENTITY < entity_name > IS
PORT (
	clk, rst : IN STD_LOGIC;
	input : IN < data_type > ;
	output : OUT < data_type >);
END entity;
----------------------------------------------------------
ARCHITECTURE < architecture_name > OF < entity_name > IS
	TYPE state IS (A, B, C, ...);
	SIGNAL pr_state, nx_state : state;
        signal timer: integer range 0 to MAX;
--	ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
--	ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
BEGIN
	------Logica Sequencial da FSM:------------
	PROCESS (clk, rst)
		variable count: integer range o to MAX;
	BEGIN
		IF (rst = '1') THEN
			pr_state <= A;
			count := 0;
		ELSIF (clk'EVENT AND clk = '1') THEN
			count := count + 1;
			if (count >= timer) then
				pr_state <= nx_state;
				count := 0;
			end if;
		END IF;
	END PROCESS;
	------Logica Combinacional da FSM:------------
	PROCESS (pr_state, input)
	BEGIN
                ------Valores default das saidas------------
                output <= < value >;
                ------Valores default do timer------------
		timer <= <value>;
		CASE pr_state IS
			WHEN A =>
				output <= < value > ;   -- apenas se diferente do valor default
				IF (input =< value >) THEN
					timer <= <value>;  -- apenas se diferente do valor default
					nx_state <= B;
					...
				ELSE
					timer <= <value>; -- apenas se diferente do valor default
					nx_state <= A;
				END IF;
			WHEN B =>
				output <= < value > ; -- apenas se diferente do valor default
				IF (input =< value >) THEN
					timer <= <value>;  -- apenas se diferente do valor default
					nx_state <= C;
					...
				ELSE
					timer <= <value>;  -- apenas se diferente do valor default
					nx_state <= B;
				END IF;
			WHEN ...
		END CASE;
	END PROCESS;
	------Seção de Saída (opcional):-------
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			new_output <= < value > ;
		ELSIF (clk'EVENT AND clk = '1') THEN --or clk='0'
			new_output <= output;
		END IF;
	END PROCESS;
END architecture;
Ver pag. 298 a 301 de [2]
Encontro 41 e 42 (29 nov. e 1 dez.)
Exemplo de FSM temporizada - semáforo temporizado
  • Usando o template acima descreva em VHDL a FSM de um controlador de semáforo Regular indicado pela FSM da figura abaixo:

Figura 7.10 - Diagrama de estados do controlador de semáforo
Semaforo FSM v2.png
Fonte: Elaborado pelo autor.

Figura 7.11 - Simulação do controlador de semáforo no Modelsim
Semaforo FSM v2 ModelSim.png
Fonte: Elaborado pelo autor.

Se quiser usar o ModelSim diretamente sem usar o Quartus, abra um terminal e digite:

/opt/intelFPGA/20.1/modelsim_ae/linuxaloem/vsim (ou o caminho de instalação na sua maquina)
Encontro 43 (5 dez.)
  • Avaliação A2

Unidade 8 - Testbench

  • 2 ENCONTROS
Unidade 8 - Testbench
Encontro 43 (8 dez.)
  • Simulação de sistemas digitais com Modelsim e testbench em VHDL
  • Tipos de simulação:
1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída - OK já visto desde CIL29003
2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída - OK já visto desde CIL29003
3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - OK já visto
4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
Geração automática do modelo do testbench em VHDL

Usaremos como exemplo o semáforo recém projetado para criar um testbench em VHDL para testar uma entity projetada em VHDL.

  • O primeiro passo é abrir o projeto, que foi anteriormente simulado com um arquivo de script .do para criar agora uma simulação usando um testbench em VHDL.
  • Esse processo pode ser feita manualmente, mas usaremos o comando do Quartus que permite gerar um modelo para o testbench. Selecione a entity TOP LEVEL e após fazer a Analysis & Synthesis selecione Processing > Start > Start Test Bench Template Writer.
  • Neste passo deverá será gerado um arquivo <nome>.vht dentro da pasta simulation/modelsim.
  • Abra o <nome>.vhtarquivo, e inclua ele nos arquivos do projeto.
ATENÇÃO

Se ao invés de gerar um arquivo <nome>.vht (VDHL), for gerado o arquivo <nome>.vt (Verilog), isso indica que ao definir o projeto, não foi escolhido a linguagem correta para simulação. preste atenção na mensagem:

 Info (201000): Generated Verilog Test Bench File <caminho>/simulation/modelsim/<nome>.vt for simulation

Para corrigir, selecione o VHDL, Assignments > Settings > EDA Tool Settings > {Tool Type = Simulation & Tool Name = ModelSim-Altera & Format(s) = [VHDL]} > [OK]

Depois disso repita o processo para gerar o template (modelo) e verifique se a mensagem mostrada é:

 Info (201002): Generated VHDL Test Bench File <caminho>/simulation/modelsim/<nome>.vht for simulation


LIBRARY ieee;                                               
USE ieee.std_logic_1164.all;                                

ENTITY semaforo_vhd_tst IS
END semaforo_vhd_tst;
ARCHITECTURE semaforo_arch OF semaforo_vhd_tst IS
-- constants                                                 
-- signals                                                   
SIGNAL ativar : STD_LOGIC;
SIGNAL clk : STD_LOGIC;
SIGNAL lam1 : STD_LOGIC;
SIGNAL lam2 : STD_LOGIC;
SIGNAL lvd1 : STD_LOGIC;
SIGNAL lvd2 : STD_LOGIC;
SIGNAL lvm1 : STD_LOGIC;
SIGNAL lvm2 : STD_LOGIC;
SIGNAL rst : STD_LOGIC;
COMPONENT semaforo
	PORT (
	ativar : IN STD_LOGIC;
	clk : IN STD_LOGIC;
	lam1 : OUT STD_LOGIC;
	lam2 : OUT STD_LOGIC;
	lvd1 : OUT STD_LOGIC;
	lvd2 : OUT STD_LOGIC;
	lvm1 : OUT STD_LOGIC;
	lvm2 : OUT STD_LOGIC;
	rst : IN STD_LOGIC
	);
END COMPONENT;
BEGIN
	i1 : semaforo
	PORT MAP (
-- list connections between master ports and signals
	ativar => ativar,
	clk => clk,
	lam1 => lam1,
	lam2 => lam2,
	lvd1 => lvd1,
	lvd2 => lvd2,
	lvm1 => lvm1,
	lvm2 => lvm2,
	rst => rst
	);
init : PROCESS                                               
-- variable declarations                                     
BEGIN                                                        
        -- code that executes only once                      
WAIT;                                                       
END PROCESS init;                                           
always : PROCESS                                              
-- optional sensitivity list                                  
-- (        )                                                 
-- variable declarations                                      
BEGIN                                                         
        -- code executes for every event on sensitivity list  
WAIT;                                                        
END PROCESS always;                                          
END semaforo_arch;
  • Note que a entity desse testbench não possui nem parâmetros genéricos (generic) nem portas de entrada ou saída (port), pois o objetivo dela é encapsular o dispositivo sob testes (Device Under Test (DUT)), no caso a entity semaforo.
  • Esse testbench será usado para gerar os estímulos necessários para a simulação desse DUT, o qual já está declarado e instanciado como um componente dentro da entity semaforo_vhd_tst. No template gerado, também são gerados sinais (signal) para conectar a cada uma das portas de entrada e saída do DUT.
  • Logo após da instanciação do DUT, estão dois esboços de process, os quais são usados para realizar a simulação, conforme indicado a seguir.
  • Usaremos como base para criar os estímulos o script tb_semaforo.do
vcom -93 -work work {../../semaforo.vhd}
vsim work.semaforo
do wave_curto.do
force -freeze sim:/semaforo/rst 1 0, 0 10
force -freeze sim:/semaforo/clk 1 0, 0 {0.5sec} -r 1sec
force -freeze sim:/semaforo/ativar 0 0, 1 10.3sec, 0 55.5sec, 1 70sec, 0 106.22sec, 1 120sec
run 150sec
  • Exemplos de criação de sinais para testbench em VHDL
Geração de sinal de clock
-- DECLARAR
constant tclk: time := 1 ns;
signal clk   : std_logic := '0';

-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
clk <= not clk after tclk;

-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
  clk <= '1';
  wait for tclk;
  clk <= '0';
  wait for tclk;
END PROCESS;
Geração de sinal de reset
-- DECLARAR
constant treset: time := 100 ps;
signal reset   : std_logic;

-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
reset <= '1', '0' after treset;

-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
  reset <= '1';
  wait for treset;
  reset <= '0';
  wait;
END PROCESS;
Geração de uma sequência binária
-- DECLARAR
constant t_a: time := 100 ps;
constant Nbits: natural := 8;
signal a  : std_logic_vector(Nbits-1 downto 0);

-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
	for i in 0 to 2**Nbits-1 loop
		a <= std_logic_vector(to_unsigned(i,Nbits));
		wait for t_a;
	end loop;
END PROCESS;
Geração de uma sequência pseudoaleatória

No exemplo abaixo é utilizado um tipo de Linear-feedback shift register

-- DECLARAR
constant t_a: time := 100 ps;
constant Nbits: natural := 8;
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');

-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
-- USANDO UM CONTADOR LFSR
PROCESS                                              
BEGIN  
	for i in 0 to 2**Nbits-1 loop
		a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1);  -- para 8 bits
		wait for t_a;
	end loop;
END PROCESS;
  • Após a criação do testbench, o projeto terá os arquivos semaforo.vhd e, semaforo.vht, os quais serão utilizados no Modelsim para realizar a simulação.
  • Abra o ModelSim a partir do Quartus Tools > Run Simulation Tool > RTL Simulation.
  • Na pasta work, deverão estar compiladas todas as entities do projeto, mas falta compilar o entity do testbench. Para compilar, o modo mais simples sem construir um projeto no ModelSim é: Compile > Compile > [File name = <nome>.vht] > [Compile] > [Done].
  • Após compilar o test_bench deverá estar na pasta work a nova entidade com o test_bench <nome>_vhd_tst, a qual será usada na simulação.
  • Escolha essa entidade para a simulação, e em seguida adicione os sinais que desejar da aba Objects na aba Wave. Se desejar adione outros sinais internos abrindo os componentes que compõe o projeto.
  • Como a geração dos estimulos (sinais) será feita pelo testbench em VHDL, agora basta executar o comando run TEMPO (onde TEMPO = 1 sec | 100 [ps]| 10 ns) ou run -all (caso haja um tempo limite estabelecido no test_bench).
  • Para facilitar a simulação no Modelsim recomenda-se criar também os arquivos de script tb_semaforo.do, ou pelo menos criar o arquivo wave_vht.do para definir os sinais a serem mostrados e sua formatação. Note que no exemplo abaixo a compilação do <file_top_level>.vht é feita na pasta atual, pois ele é originalmente criado na pasta modelsim/simulation.
vlib rtl_work
vmap work rtl_work
vcom -93 -work work {../../<file1>.vhd}
vcom -93 -work work {../../<file1>.vhd}
vcom -93 -work work {../../<file_top_level>.vhd}
vcom -93 -work work {<file_top_level>.vht}
vsim work.q2_vhd_tst
do wave_vht.do
run 110 ns

Se quiser usar o ModelSim diretamente sem usar o Quartus, abra um terminal e digite:

/opt/intelFPGA/20.1/modelsim_ae/linuxaloem/vsim (ou o caminho de instalação na sua maquina)

Unidade 9 - Projeto Final

  • 3 ENCONTROS
Unidade 9 - Projeto Final
Aula 44 a 47 (12 a 19 dez)
Projeto de Modernização Semafórica para a Grande Florianópolis - Soluções Inovadoras para o Tráfego Eficiente e Seguro

O presente projeto busca por soluções semafóricas inovadoras, incluindo aprimoramentos específicos para o período noturno e economia de energia. O objetivo é proporcionar uma experiência mais segura e visível para veículos e pedestres ao cruzar vias durante o dia e a noite. Como material auxiliar se sugere a leitura dinâmica do Manual Brasileiro de Sinalização de trânsito: VOLUME V - Sinalização Semafórica do CONTRAN.

O projeto será desenvolvido por equipes de até 2 estudantes, e cada equipe deverá escolher uma dos cenários propostos, ou até mesmo um cenário diferenciado desses. Cada projeto deverá envolver obrigatoriamente:

  • Uso de um conjunto de mostradores de 7 segmentos de dois ou mais dígitos.
  • Uso de leds para indicar mostrar os semáforos. O uso das GPIOs com circuitos de LEDs de cores verde, vermelho e amarelo é encorajado.
  • A equipe poderá utilizar ambos kits disponibilizados no laboratório
  • Usar as chaves para simular os sensores e botoeiras (sinais de entrada).
  • Uma ou mais máquinas de estados finitos
  • Deverá ser usado um projeto hierárquico, onde a entidade top level deverá apenas ter a instanciação de componentes, e eventuais adaptações ao hardware do kit.
  • Todos os componentes e o sistema completo devem ser testados através de simulação no Modelsim.
  • Os testes reais do sistema completo no kit devem ser filmados para demonstrar o funcionamento.
  • Fazer uma análise das vantagens e deficiencias da solução proposta.
Cenário 1 - Cruzamento de Via Preferencial com Via Secundária (Sensor de Veículo com Sinalização Piscante)

Nessa situação, a via secundária será liberada mediante a detecção de um veículo na área do sensor. A modernização incluirá a instalação de sensores na via secundária. Este sistema proporcionará uma abertura segura e eficiente quando necessário, ao mesmo tempo em que promove uma comunicação clara aos motoristas. A sinalização verde na via preferencial será configurada para piscar, alertando os condutores que o semáforo está sob controle do sensor de veículo na via secundária. Da mesma forma, na via secundária, o sinal ficará piscante em vermelho sempre que não houver veículo detectado pelo sensor. Ao ser identificado um veículo, o semáforo da via secundária mudará para vermelho, ao mesmo tempo que um contador regressivo indicará o tempo faltante para a abertura. Após o tempo mínimo programado para a via preferencial permanecer aberta, o semáforo da via secundária mudará para verde, indicando no contador regressivo o tempo restante de verde. Esse ajuste visa otimizar o fluxo de tráfego, garantindo a fluidez na via preferencial enquanto mantém a segurança na via secundária. A sinalização piscante proporcionará uma comunicação visual eficaz, informando os motoristas sobre o modo de operação baseado no sensor de veículo, contribuindo para uma navegação segura e eficiente no cruzamento entre a via preferencial e a secundária.

Cenário 2 - Travessia Controlada por Botoeira com Sinalização Noturna, Sinalização Piscante, Avisos Sonoros

Visa garantir uma travessia de pedestres diurna e noturna segura e consciente. Ao acionar a botoeira, será ativada uma iluminação branca sobre a faixa de passagem zebrada e nas áreas de espera dos pedestres, assegurando melhor visibilidade e segurança para o pedestre a noite. Simultaneamente, o semáforo emitirá sinais visuais e sonoros, indicando ao pedestre que o botão foi acionado com sucesso e alertando motoristas sobre a intenção de travessia. Durante a fase de liberação para veículos, o semáforo do pedestre permanecerá vermelho, economizando energia até que o botão seja acionado. Após a solicitação, os grupos focais do pedestre exibirão luz verde em ambos os lados da via, enquanto o semáforo dos carros exibirá sinal vermelho, garantindo a máxima segurança para os pedestres e reforçando a prioridade de travessia.

Para orientar pedestres de maneira eficaz, o semáforo do pedestre apresentará um contador regressivo, indicando o tempo restante para a travessia. O tempo total de travessia será ajustável, permitindo personalização conforme as necessidades locais. Nos últimos 30% do tempo, o sinal verde do semáforo do pedestre piscará, visualmente alertando que o tempo para a travessia está se encerrando. É importante ressaltar que a iluminação estará ativa apenas durante o tempo em que a botoeira foi acionada até 5 segundos após o término do tempo de travessia. Este ajuste visa otimizar o consumo de energia e garantir que a iluminação cumpra sua função apenas quando necessária.

Cenário 3 - Sinalização Semafórica para Cruzamento com Passagem de Pedestres Controlada por Botoeira e Sincronizada com Vias Veiculares

Este projeto visa apresentar uma solução para um cruzamento de vias, com a passagem de pedestres controlada por botoeira, integrada de maneira sincronizada com o fluxo de veículos. Abaixo, detalhamos as características tanto para pedestres quanto para carros. Fase Inicial: Os semáforos veiculares iniciam em sinal amarelo piscante em ambas as vias. O semáforo para pedestres permanece apagado até a ativação da botoeira, visando a economia de energia. apenas um led vermelho no centro da botoeira deve estar piscando para induzir o pedestre a acionar a boteira. Uma placa sobre a botoeira informa que é necessário acionar o botão para solicitar a passagem. Funcionamento no modo veicular sem solicitação de pedestre: O cruzamento deve alternar entre vermelho, amarelo e verde conforme programação para otimizar o fluxo de carros. O ciclo de passagem de pedestre deve ser iniciado se alguma das 4 boteiras existentes nos cantos do cruzamento for acionada. Solicitação de pedestre: Ao ser acionada uma das botoeiras dos Pedestres, se for a noite, será ativada a iluminação branca sobre a faixa de passagem zebrada e nas áreas de espera dos pedestres, assegurando melhor visibilidade e segurança para o pedestre a noite. Simultaneamente, o semáforo emitirá sinais sonoros, indicando ao pedestre que o botão foi acionado com sucesso e alertando motoristas sobre a intenção de travessia. Durante a fase de espera para dos pedestres, o semáforo do pedestre, que estava apagado economizando energia, acenderá em vermelho e mostrara um contador regresivo indicando o tempo faltante para a liberação da travessia. Na liberação, que é sincronizada com as vias, os grupos focais de pedestre exibirão luz verde em ambos os lados das faixas de pedestres, e indicará o tempo restante num contador regressivo. Enquanto isso, os semáforos dos carros exibirão sinal vermelho em todas as direções, garantindo a máxima segurança para os pedestres. Nos últimos 30% do tempo, sinal verde para pedestres piscará, alertando visualmente sobre término iminente da travessia. Ao término do tempo de travessia configurado, semáforo para pedestres entra em vermelho piscante por 5 segundos, indicando retorno ao modo veicular. Se botoeira for acionada novamente, inicia-se novo ciclo, sincronizando travessia de pedestres com o fluxo veicular.

Essa sinalização semafórica integrada visa proporcionar uma travessia segura e eficiente para pedestres, ao mesmo tempo em que otimiza o fluxo veicular, contribuindo para um ambiente de tráfego mais fluido e seguro no cruzamento das vias de carros.

BONUS
  • 2 pontos na Avaliação A1 ou A2: implementar uma melhoria no semáforo fazendo ele progressivo, com 6 lampadas verdes e 6 vermelhas no Cenário 1, 2 ou 3
  • 1 pontos na Avaliação A1 ou A2: implementar uma melhoria para liberar o transito para ambulância que esteja atendendo a uma emergência no Cenário 1, 2 ou 3.

Para saber as especificações exatas dessas características pergunte ao professor.

Após a conclusão do projeto, a equipe deverá gravar um vídeo explicando o projeto usando o hardware projetado. Também deve ser feita a defesa por cada membro da equipe do projeto, devendo estar apto a responder sobre o código e também sobre o processo e metodologia.

Avaliações

Nas avaliações A1 e A2 vocês poderão consultar apenas as folhas entregues:

Dica use também como fonte de consulta os templates do Quartus.
Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
Data das avaliações
  • A1 - Unidade 1 a Unidade 4: dia XX/XX
  • A2 - Unidade 5 a Unidade 7: dia XX/XX
  • PF - Entrega do projeto final: dia 15/12
  • R12 - Recuperação de A1 e A2 : dia 12/12


Atividade relâmpago (AR)

As atividades relâmpago devem ser entregues no Moodle da disciplina. A não entrega dessas atividades não gera nenhum desconto, apenas geram pontos de BÔNUS que são adicionados aos conceitos das avaliações A1 a AN.

Atividade extra-classe (AE)

A média ponderada das atividades extra-classe será considerada no cálculo do conceito final da UC. A entrega das mesmas será feita pelo Moodle, e cada dia de atraso irá descontar 0,2 na nota da atividade. Muitas dessas atividades também possuem BÔNUS que são adicionados aos conceitos das avaliações A1 e A2. Para os BÔNUS só serão considerados projetos entregues no prazo.


AE2 - Conhecendo os dispositivos lógicos programáveis

AE2 - Conhecendo os dispositivos lógicos programáveis
Objetivos
  • Conhecer o Quartus Prime e as características dos dispositivos lógicos programáveis
  • Analisar os tempos de propagação em um circuito combinacional
  • Alterar configurações do compilador
  • Fazer a simulação funcional e temporal de um circuito combinacional.
Atividades
  • Ao escolher a família de FPGAS, escolha inicialmente um dispositivo da família Max II. Anote o código desse dispositivo.
  • Capture as telas solicitadas e depois utilize-as no relatório da atividade.
  • Anote o tempo utilizado para cada uma das etapas do processo de compilação.
  • Anote o número de elementos lógicos utilizados e o número de pinos utilizados, bem com o percentual em relação ao número total do dispositivo.
  • Anote algum erro (Error) ou alertas (Warnings) que o Quartus II indicar no painel de mensagens [Messages]
  • Ao final salve o projeto em um arquivo QAR (sugestão PJ1.QAR)
  • Observe as mudanças que ocorrem tanto no tipo de Elemento Lógico disponível, no Chip Planner, no Pin Planner, e no circuito dos pinos de I/O. Note que estes FPGAs também apresenta novos componentes, tais como: Memória, Multiplicadores, DSP, PLL, DLL, etc. Verifique se consegue encontra-los no leiaute mostrado no Chip Planner, e documente aqueles que encontrar.
  • Compare os resultados obtidos nos procedimentos do PASSO 1 e PASSO 2.
  • Ao escolher a família de FPGAS, escolha um dispositivo FPGA da família Cyclone IV E. Anote o código desse dispositivo.
  • Capture as telas mostradas no roteiro e depois utilize-as no relatório da atividade.
  • Anote o máximo tempo de propagação entre entrada e saída.
  • Anote o número de elementos lógicos utilizados e o número de pinos utilizados, bem com o percentual em relação ao número total do dispositivo.
  • Experimente modificar as configurações do compilador, conforme mostrado em Configurando o compilador. Se desejar mude a semente inicial trocando o valor de [Seed: 1]
  • Experimente inserir diferentes restrições de atraso máximo para o compilador, e analise o resultado obtido.
  • Anote algum erro (Error) ou alertas (Warnings) que o Quartus II indicar no painel de mensagens [Messages]
  • Ao final salve o projeto em um arquivo QAR (sugestão PJ2.QAR)
  • PASSO 4: Realize a simulação funcional de um dos projetos CI74161 ou do cálculo da distância de Hamming
  • Capture as telas que mostram o circuito funcionando e depois utilize-as no relatório da atividade.
Entregas
  1. Envie um arquivo QAR contendo todos os arquivos necessário para compilar cada um dos projetos.
  2. Envie um relatório em PDF, incluindo as imagens capturadas (inclua um título para cada figura) e escreva para cada imagem um texto comentando o que representa. O relatório também deve ter a identificação (autor, título, data) uma breve introdução e uma conclusão. A descrição dos procedimentos feita na página wiki não precisa incluída no relatório.
  3. Use preferencialmente o Overleaf para gerar o relatório. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
  4. A entrega será feita através do Moodle da disciplina. Observe o prazo de entrega.

AE3 - Programação do kit Mercurio IV

AE3 - Programação do kit Mercurio IV
Objetivos
  • Revisar o processo de programação do FPGA usando um kit de desenvolvimento
  • Fazer as adaptações necessárias para o circuito funcionar no kit
  • Verificar se o contador proposto funciona, tanto carregando o valor inicial como na contagem progressiva.
  • Analisar o que ocorre em um contador quando atinge o seu valor máximo.
  • Verificar e corrigir o problema do repique (bouncing) da chave usada no CLK
Procedimento de laboratório
Passo 1
  • Escrever o código do projeto counter (já simulado em aula anterior), incluindo as adaptações necessárias para o uso dos LEDs da matriz de leds do kit Mercurio IV.
  • Fazer a análise e síntese e corrigir eventuais erros.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;   

entity counter is
  generic (WIDTH : in natural := 4);
  port (
    RST   : in std_logic;
    CLK   : in std_logic;
    LOAD  : in std_logic;
    DATA  : in std_logic_vector(WIDTH-1 downto 0);
    R0	  : out std_logic;
    Q     : out std_logic_vector(WIDTH-1 downto 0));
end entity;

architecture ifsc_v1 of counter is
	signal Q_aux : std_logic_vector(WIDTH-1 downto 0);
begin
  process(RST,CLK) is
  begin
    if RST = '1' then
      Q_aux <= (others => '0');
    elsif rising_edge(CLK) then
      if LOAD= '1' then
        Q_aux <= DATA;
      else
        Q_aux <= std_logic_vector(unsigned(Q_aux) + 1);
      end if;
    end if;
  end process;
  -- Adaptacao feita devido a matriz de leds acender com ZERO
  Q <= not Q_aux;
  -- Para acender um led eh necessario colocar ZERO na linha correspondente da matriz.
  R0 <= '0';
end architecture;
Passo 2
  • Escolher a FAMILY: Cyclone® IV E
  • Escolher o DEVICE: EP4CE30F23C7
  • Configurar como entrada e saída do FPGA os seguintes pinos:
CLK:     PIN_Y17 ou PIN_V21
DATA[3]: PIN_H18
DATA[2]: PIN_H20 
DATA[1]: PIN_K21 
DATA[0]: PIN_J21
LOAD:    PIN_Y22
Q[3]:    PIN_J6 
Q[2]:    PIN_K8 
Q[1]:    PIN_J8 
Q[0]:    PIN_L8 
RST:     PIN_W21
R0:      PIN_F10
Passo 3
  • Realizar os seguintes testes, acionando as chaves e observando o resultado nos LEDs:
  1. Carregar um valor nas chaves DATA[3..0], mudar LOAD para ALTO e acionar a chave CLK. Verificar e anotar o comportamento. Repetir com valores diferentes nas DATA[3..0].
  2. Mudar RST para ALTO, e verificar e anotar o comportamento.
  3. Manter LOAD em BAIXO e acionar a chave CLK várias vezes (no mínimo 16 vezes). Verificar e anotar o comportamento. O comportamento é o esperado para o número de mudanças da chave CLK?
Dica
  • Se desejar desligar a luz do LCD, basta fixar o pino LCD_BACKLIGHT (V10) - Controlador do backlight em '0'.
 -- insira na declaração das portas da entity a linha
 LCD_BACKLIGHT:	out std_logic;

 -- insira na architecture a linha
 LCD_BACKLIGHT <= '0';
  • Após fazer a Análise e Síntese, defina o pino v10 para essa porta.
LCD_BACKLIGHT: PIN_V10
Passo 4
  • Eliminar o repique da chave CLK, inserindo no código um circuito anti-repique, com um tempo de anti-repique de 10ms:
entity COUNTER_db is
...
    CLK50MHz : in std_logic;
...
end entity

architecture ifsc_v2 of COUNTER_db is
...
	signal CLK_db:	std_logic := '0';
...
begin
	-- debouncer de 10ms
	process (CLK50MHz, CLK, RST, CLK_db) is
		constant max_cnt: natural := 500000; -- 500000 10ms para clk 20ns
		variable cnt_db : integer range 0 to max_cnt-1;
	begin
			if (RST = '1') then
				cnt_db := 0;
				CLK_db <= '0';
			elsif ((CLK = '0') and (CLK_db = '0')) or 
			      ((CLK = '1') and (CLK_db = '1')) then
				cnt_db := 0;
			elsif (rising_edge(CLK50MHz)) then
				if (cnt_db = max_cnt - 1) then
					CLK_db <= not CLK_db;
				else
					cnt_db := cnt_db + 1;
				end if;
			end if;
 	end process;
...
-- Troque no process(RST,CLK) a entrada '''CLK''' do circuito anterior pela entrada '''CLK_db'''
  • Acrescentar o pinos de entrada CLK50MHz:
CLK50MHz:     PIN_T1
  • acrescente um arquivo para restringir a análise temporal (Timing Analysis) a 50MHz para a entrada de clock CLK50MHz
Restringir a frequencia máxima de clock no Quartus II
create_clock -name CLK50MHz -period 50MHz [get_ports -no_case {clk*}]
Passo 5
  • Repita os teste feitos no Passo 3, acionando as chaves e observando o resultado nos LEDs:
  1. Carregar um valor nas chaves DATA[3..0], mudar LOAD para ALTO e acionar a chave CLK. Verificar e anotar o comportamento. Repetir com valores diferentes nas DATA[3..0].
  2. Mudar RST para ALTO, e verificar e anotar o comportamento.
  3. Manter LOAD em BAIXO e acionar a chave CLK várias vezes (no mínimo 16 vezes). Verificar e anotar o comportamento. O comportamento é o esperado para o número de mudanças da chave CLK?
  4. O que ocorre quando o contador chega ao seu valor máximo? Quais seriam as alternativas "teóricas" para evitar que isso ocorra? Proponha soluções, sem se preocupar com um código de descrição do hardware (HDL).
  • Reduza o tempo do circuito anti-repique para 1us (microsegundo) max_cnt = 50, e verifique o funcionamento da chave CLK
Relatório Técnico
  • Documentar o experimento em um relatório técnico que contenha no mínimo:
  • Identificação (título, disciplina, data, autores);
  • Introdução;
  • Descrição do procedimento realizado;
  • Resultados obtidos (com imagens dos itens importantes) e análise dos resultados;
  • Conclusão.
  • Apêndice (se desejar pode ser disponibilizados vídeos do funcionamento do circuito nos Passos 3 e 5
  • O relatório deve também responder as questões levantadas e mostrar que os objetivos apresentados na introdução foram atendidos.

AE4 - Conversor de binário para BCD

AE4 - Conversor de binário para BCD
Atividades

Neste laboratório remoto, os alunos deverão implementar uma solução do para um circuito conversor de binário para BCD (bin2bcd) com entrada binária variando entre 0 a 9999.

  • Baseado no exemplo do conversor de binário para BCD - Binary-coded decimal de dois dígitos decimais (00 a 99), mostrado em aula, projete um conversor para 4 dígitos (0000 a 9999).
  • Escreva o código em VHDL, que dada uma entrada A (entre 0 e 9999), fornece nas saídas os dígitos da milhar (sm), centena (sc), dezena (sd) e unidade (su).
  • Utilize as diferentes estratégias ensinadas para reduzir a quantidade de elementos lógicos, aproveitando resultados intermediários, e definindo com exatidão o número de bits a ser usado. O uso de configurações diferentes no compilador Quartus Prime 20.1.1, uso de restrições de tempo através de comandos no arquivo .SDC, e escolha do dispositivo da família de FPGA CYCLONE IV E é permitida.
  • Realize a Simulação Funcional usando o ModelSim para mostrar que o circuito funciona.

Figura AE4(a) - Exemplo de simulação funcional de 0 a 9999
Bin2bcd SIM fucional.png
Fonte: Elaborado pelo autor.
  • Analise o tempo de propagação e área ocupada (número de elementos lógicos) e tente otimizar um ou os dois parâmetros. Se realizar diversas versões, pode anotar os valores de todas elas e fornecer todas as versões, mas foque no melhor desempenho.
  • O número de elementos lógicos pode ser obtido no Flow Summary ou no Resource Usage Summary, conforme mostram as figuras a seguir. Anote a quantidade de elementos lógicos do circuito.

Figura AE4(b) - Obtendo o número de elementos no "Flow Summary"
Bin2bcd logic elements basico.png
Fonte: Elaborado pelo autor.

Figura AE4(c) - Obtendo o número de elementos no "Resource Usage Summary"
Bin2bcd logic elements basico RUS.png
Fonte: Elaborado pelo autor.
  • O tempo máximo de propagação do circuito é obtido no Report Datasheet dentro do aplicativo Timing Analyser .
  • Antes de abrir o Timing Analyser é necessário realizar as etapas Analysis & Synthesis, Fitter e Timing Analysis.
  • Em seguida no aplicativo Timing Analyser, é necessário executar o Create Timing Netlist, Read SDC File e Update Timing Netlist.
  • Selecione o Set Operation Conditions para o modelo Slow 1200mV 125ºC, pois corresponde ao pior tempo dos 3 modelos de simulação.
  • Em seguida obtenha Report Datasheet. Anote o tempo máximo de propagação do circuito.

Figura AE4(d) - Exemplo de tempo máximo de propagação
Bin2bcd propagation delay.png
Fonte: Elaborado pelo autor.
  • Se quiser o(a) estudante pode apresentar dois projetos, sendo um para o menor tempo máximo de propagação e outro para menor área ocupada (número de elementos lógicos).
  • O arquivo QAR entregue deve ser plenamente compilável e permitir após a Análise e Síntese e execução do comando de simulação do tb_bin2bcd.do deve apresentar o resultado final.
  • Neste laboratório é necessário fornecer a imagem RTL e Technology Map usadas para obter e melhorar os circuitos, e a imagem da simulação que mostra que a versão entregue funciona.
  • Não é permitido o uso do algoritmo Double Dabble para fazer a conversão entre binário e BCD.
Entregas
  1. Envie os arquivos QAR contendo todos os arquivos necessário para compilar e simular os projetos.
  2. A entrega será feita através do Moodle da disciplina.
  3. Use preferencialmente o Overleaf para gerar o documento. Mas o uso de MS-Word, Libreoffice e Google Docs também é permitida.
  4. Envie um relato em PDF que:
  • Traga identificação (autor, título, data), e as informações essenciais para mostrar que o circuito funciona e mostrar os resultados obtidos para os parâmetros solicitados.
  • Descreva a metodologia seguida para reduzir o tempo de propagação e o número de elementos lógicos.
  • Apresente o diagrama RTL e o Technology Map do circuito.
  • Comprove através de imagens de simulação funcional no MODELSIM que circuito funciona.
  • Mostre o número de elementos lógicos usados.
  • Mostre qual o tempo máximo de propagação.
Bônus
  • 0,5 pontos na avaliação A1 - O aluno que apresentar por primeiro a solução funcionando com comprovação por simulação.
  • 0,5 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em primeiro lugar no parâmetro menor área ocupada, com comprovação por simulação.
  • 0,5 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em primeiro lugar no parâmetro menor tempo máximo de propagação entre entradas e saída, com comprovação por simulação.
  • 0,2 pontos na avaliação A1 - O aluno que apresentar por segundo a solução funcionando com comprovação por simulação.
  • 0,2 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em segundo lugar no parâmetro menor área ocupada, com comprovação por simulação.
  • 0,2 pontos na avaliação A1 - O aluno que apresentar a solução funcionando e fique em segundo lugar no parâmetro menor tempo máximo de propagação entre entradas e saída, com comprovação por simulação.

AE5 - Laboratório de programação de FPGA - Relógio HHMMSS

AE5 - Laboratório de programação de FPGA - Relógio HHMMSS
Objetivos
  • Desenvolver sistemas utilizando componentes já desenvolvidos.
  • Apreender a instanciar componentes e conectá-los com sinais.
  • Realizar um projeto hierárquico.
Consulte

Passo 1 - Projeto do Relogio_HHMMSS:

  • Projete um relógio para indicar hora (HH), minuto (MM) e segundo (SS), mostrando as unidades e dezenas em 6 Display de 7 segmentos, usando os seguintes componentes:
  • Divisor de clock para obter um sinal de período de 1 segundo. (div_clk)
  • Componente 1 - Divisor de Clock, com o valor da divisão configurável pelo parâmetro fclk2. O sinal de saída será usado como "enable" ou "clock" a cada 1 segundo para o componente contador_bcd.
component div_clk is
	generic (fclk2 : natural := 50);       -- frequencia para simulacao
	port (
		clk_in : in std_logic;
		rst : in std_logic;
		clk_out : out std_logic
	);
end component;
  • de 00 a 99 configurável (contador_bcd)
  • Componente 2 - Contador de 00 a 99 com saída em BCD, (pode ser um contador em BCD (ou um contador binário + conversor bin2bcd). O valor final deve ser configurável pelos parâmetros max_dezena e max_unidade
	component contador_bcd is
		 generic (max_dezena : natural := 5; max_unidade : natural := 9);
		 port (
			  clk: in std_logic;
			  rst: in std_logic;
			  fim: out std_logic;
			  bcd_unidade, bcd_dezena : out std_logic_vector(3 downto 0)
		 );
	end component;

Para esse contador pode ser usado o desenvolvido em aula, mas ele precisa ser modificado para permitir que o clock seja síncrono em todos os flip-flops. Assim é necessário usar um sinal de enable_in para habilitar a contagem durante um período de clock. Também será necessário gerar o sinal de enable_out para habilitar a contagem do próximo contador.

  • Componente 3 - Conversor de BCD para SSD, com um parâmetro configurável ac_ccn para selecionar o tipo de mostrador (Anodo Comum / Catodo Comum).
	component bcd2ssd is
		generic (ac_ccn : natural := 0);
		port (
				bcd_in : in std_logic_vector(3 downto 0);
				ssd_out : out std_logic_vector(6 downto 0)
		 );
	end component;
  • O valor ac_ccn será utilizado para configurar o circuito de modo a acender os segmentos com ALTO para display de catodo comum (ac_ccn=0), ou BAIXO para display de anodo comum (ac_ccn=1).


  • Opção 1 - Na entidade TOP LEVEL, podem ser instanciados os componentes div_clk, 3 contador_bcd e 6 bcd2ssd, e inseridos os inversores necessários para adequar ao hardware do kit DE2-115.
  • Opção 2 - Se quiser, você pode criar um componente com um contador_bcd e dois bcd2ssd e replique 3 vezes esse componente na entidade TOP LEVEL.
  • IMPORTANTE: É necessário incluir um RESET no circuito sequencial e também uma entrada de enable no contador.
entity relogio_HHMMSS IS 
        -- O valor do fclk2 corresponde a metade do periodo do clock de entrada em Hz
	generic (fclk2 : natural := 50);  -- ao simular o circuito utilize um valor baixo para acelerar a simulaçao
        -- generic (fclk2 : natural := 25000000);  -- ao implementar no hardware use o valor do clock em Hz
	port
	(
		clk_1sec:	in  STD_LOGIC;
		rst_SW:		in STD_LOGIC;
		ligar_SW:	in STD_LOGIC;
		ssd_DS, ssd_DM, ssd_DH:	out  STD_LOGIC_VECTOR(6 downto 0);
		ssd_US, ssd_UM, ssd_UH:	out  STD_LOGIC_VECTOR(6 downto 0)
	);
end entity;

Figura AE4.a - RTL do Relógio Digital de 24 horas
Rtl relogio24h.png
Fonte: Elaborado pelo autor.

Passo 2 - Simule os componentes e o relógio completo:

  • Efetue a simulação funcional do div_clock, definindo a entrada de clk_in como um sinal de 100 Hz, e realizando a simulação para produzir um clk_out de 1Hz. Certifique-se que a duração do sinal alto é de apenas 1 período do sinal de clk_in.
  • Efetue a simulação funcional do contador_bcd fazendo a contagem de 00 a 99 e também de 00 a DU, onde DU é um valor qualquer configurável pelo genéricos D e U. O contador só deverá contar se o enable_in estiver ALTO. Durante a mudança de DU para 00 o enable_out deverá ir para ALTO. Na simulação use um clock de 1 segundo, e faça uma simulação de pelo menos 120 segundos.
  • Efetue a simulação do bcd2ssd testando o circuito para as estradas bcd_in (0, 1, 2, 3, 4, 5, 6, 7, 8, 9). Para os valores inválidos de entrada (10, 11, 12, 13, 14, 15), a saída deve mostrar "E" (de erro).
  • Nas simulações dos circuitos sequencias é necessário iniciar o circuito com um RESET de 10 ps.
  • Realize a simulação do relógio completo relogio_HHMMSS durante 48 horas. Para esta simulação é importante configurar o divisor de clock para realizar uma divisão com um fator menor que aquele a ser usado no circuito final (veja o comentário no código acima).

Figura AE4.b - Simulação funcional do Relógio Digital de 24 horas
Sim relogio24h.png
Fonte: Elaborado pelo autor.

Figura AE4.c - Simulação funcional do Relógio Digital de 24 horas - detalhe enable 1sec
Sim relogio24h enable.png
Fonte: Elaborado pelo autor.

Passo 3 - Implemente o relógio no kit DE2-115:

  • Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito. Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em Preparando para gravar o circuito lógico no FPGA. Neste caso iremos usar o kit DE2-115 da TERASIC, pois precisamos de 6 mostradores de 7 segmentos.
  • Analise no diagrama esquemático como funcionam as chaves e também o mostrador de sete segmentos. Note que no projeto o signal RST foi descrito como normalmente ALTO, por isso dependendo da chave que usar pode ser necessário acrescentar um inversor neste sinal para que funcione corretamente. O Display de 7 segmentos da DE2-115 é do tipo anodo comum (aplicando um nível lógico '0' no pino correspondente fará com que o segmento acenda, enquanto a aplicação do nível lógico '1' fará com com que o segmento apague).
  • Anote a pinagem que será utilizada para conectar o circuito projetado no FPGA aos circuitos externos do kit (mostradores, chaves e leds).
definição dos pinos
To Direction Location
clk50MHz Input PIN_Y2
clk_1sec_LED Output PIN_F17
rst_sw Input PIN_AB28
ssd_DHH[0] Output PIN_AD17
ssd_DHH[1] Output PIN_AE17
ssd_DHH[2] Output PIN_AG17
ssd_DHH[3] Output PIN_AH17
ssd_DHH[4] Output PIN_AF17
ssd_DHH[5] Output PIN_AG18
ssd_DHH[6] Output PIN_AA14
ssd_DMM[0] Output
ssd_DMM[1] Output
ssd_DMM[2] Output
ssd_DMM[3] Output
ssd_DMM[4] Output
ssd_DMM[5] Output
ssd_DMM[6] Output
ssd_DSS[0] Output
ssd_DSS[1] Output
ssd_DSS[2] Output
ssd_DSS[3] Output
ssd_DSS[4] Output
ssd_DSS[5] Output
ssd_DSS[6] Output
ssd_UHH[0] Output
ssd_UHH[1] Output
ssd_UHH[2] Output
ssd_UHH[3] Output
ssd_UHH[4] Output
ssd_UHH[5] Output
ssd_UHH[6] Output
ssd_UMM[0] Output
ssd_UMM[1] Output
ssd_UMM[2] Output
ssd_UMM[3] Output
ssd_UMM[4] Output
ssd_UMM[5] Output
ssd_UMM[6] Output
ssd_USS[0] Output
ssd_USS[1] Output
ssd_USS[2] Output
ssd_USS[3] Output
ssd_USS[4] Output
ssd_USS[5] Output
ssd_USS[6] Output
  • Dica para testar o relógio sem aguardar 24 horas. Acelere o clock de entrada do contador de segundos de 60 (ou 1440 vezes), com isso o sistema realizará a contagem de 00:00:00 a 23:59:59 em 24 minutos (ou 1 minuto).

Passo 4 - Entregas:

  • Documentar o experimento em um relatório técnico que contenha no mínimo:
  • identificação (título, disciplina, data, autores);
  • introdução;
  • Descrição dos componentes utilizados e do sistema completo. Cada componente deve ser descrito em termos funcionais, e também deve ter uma simulação que demonstre o seu funcinamento.
  • resultados obtidos (com imagens dos itens importantes) e análise dos resultados;
  • apresente tabelas da pinagem utilizada na configuração do FPGA, número de elementos lógicos usados em cada componente e pelo sistema completo.
  • conclusão.
  • apêndice (se desejar pode ser disponibilizados vídeos do funcionamento do circuito
  • O relatório deve também responder as questões levantadas e mostrar que os objetivos apresentados na introdução foram atendidos.
  • O arquivo QAR contendo o projeto
  • Os arquivos para simulação (DO) necessárias para simular o sistema relogio_HHMMSS e seus componentes.
  • O arquivo SOF usado na programação do FPGA.
  1. PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657
  2. 2,00 2,01 2,02 2,03 2,04 2,05 2,06 2,07 2,08 2,09 2,10 2,11 2,12 2,13 2,14 2,15 2,16 2,17 2,18 2,19 2,20 2,21 2,22 2,23 2,24 2,25 PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p. ISBN 9780262014335