Mudanças entre as edições de "Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 28: Linha 28:
 
#[http://quartushelp.altera.com/14.1/mergedProjects/comp/comp/comp_tab_fitting.htm Analysis & Synthesis Settings]
 
#[http://quartushelp.altera.com/14.1/mergedProjects/comp/comp/comp_tab_fitting.htm Analysis & Synthesis Settings]
 
#[https://www.altera.com/en_US/pdfs/literature/wp/wp-01139-timing-model.pdf Uso do Slow 85ºC]
 
#[https://www.altera.com/en_US/pdfs/literature/wp/wp-01139-timing-model.pdf Uso do Slow 85ºC]
#[https://www.altera.com/support/support-resources/knowledge-base/solutions/rd12021999_6366.html Erro em alguns circuitos no Modelsim]
 

Edição das 16h36min de 31 de agosto de 2016

Autor: Kamila Rose da Silva

Orientador: Marcos Moecke

Curso: CST em Sistemas de Telecomunicações

Resumo

O sistema de numeração binário, junto da aritmética binária, é de extrema importância para a realização de aplicações em sistemas que envolvem processamento de sinais digitais, fundamentais em sistemas de telecomunicações. O desenvolvimento dos circuitos baseia-se na implementação em dispositivos lógicos programáveis, prática que vem se expandindo em aplicações em hardware, por sua reconfigurabilidade e maior velocidade de execução quando comparado a outros métodos. Neste trabalho todo o hardware foi implementado em VHDL permitindo avaliar quesitos como quantidade de hardware utilizado, tempo de propagação do caminho crítico e clock máximo. Para a avaliação de desempenho foi construído um cenário de testes para permitir a variar a quantidade de bits das entradas e saídas dos circuitos entre 4 até N bits. O ambiente de teste criado com o uso dos deserializadores e serializados para alimentar com dados os dispositivos sob teste (somadores e multiplicadores) juntamente com o uso da metodologia LogickLock e dos atributos de {\it keep} do VHDL permitiram realizar efetivamente a comparação de desempenho das diferentes implementações. As avaliações realizadas mostram que a descrição das operações de soma, subtração e multiplicação através dos respectivos operadores VHDL resultou em um melhor desempenho tanto no quesito tempo de propagação como no número de elementos lógicos utilizados, exceto em alguns casos. Para o somador de 4 bits, o menor atraso de propagação foi obtido com os circuitos Carry select, Carry chain e Carry skip. Por outro lado, para o somador de 32 bits, a implementação Carry lookahead 16 bits teve melhor desempenho em termos de atraso de propagação, a um custo de hardware quase 8 vezes maior. Para o multiplicador os dados obtidos também mostram que o uso do operador VHDL além de resultar no melhor desempenho tanto em relação ao tempo de propagação como na quantidade de hardware utilizado, ainda possibilita habilitar no compilador o uso dos multiplicadores embutidos no FPGA, resultando em redução do atraso de propagação entre 30% a 45%.

Palavras-chaves: desempenho de circuitos aritméticos, tipos de somadores, multiplicadores, FPGA, VHDL.

Documento TCC

  • Link para texto da monografia AQUI

Circuitos aritméticos - Quartus II

Simulações - Modelsim

Documentações ALTERA

  1. Tutoriais Quartus 14
  2. Uso do TimeQuest
  3. Optimization Tecniques
  4. Uso do Modelsim
  5. PowerPlay Analyser
  6. Analysis & Synthesis Settings
  7. Uso do Slow 85ºC