Mudanças entre as edições de "DLP1-EngTel (página)"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 28: Linha 28:
 
*[[Media:EPROM - EEPROM Dispositivos Lógicos Programáveis.pdf | EPROM - EEPROM Dispositivos Lógicos Programáveis]]
 
*[[Media:EPROM - EEPROM Dispositivos Lógicos Programáveis.pdf | EPROM - EEPROM Dispositivos Lógicos Programáveis]]
 
*[[Media:Aplicações de antifusíveis e PROMs na programação de PDLs.pdf | Aplicações de antifusíveis e PROMs na programação de PDLs]]
 
*[[Media:Aplicações de antifusíveis e PROMs na programação de PDLs.pdf | Aplicações de antifusíveis e PROMs na programação de PDLs]]
 
====ESTUDOS SEM ENTREGA DE DOCUMENTAÇÃO====
 
Os exemplos e exercícios essenciais estão destacados em negrito na listagens abaixo.
 
 
<!--
 
{{collapse top | EL1 - Resolução dos exercícios do Cap 2}}
 
*Resolva os exercícios do capítulo 2 (1, 2, 3) pag. 28 a 30
 
;Exercise 2.1: Multiplexer:
 
 
*Complete o código VHDL abaixo para que ele seja correspondente a um multiplexador que selecione a entrada A quando sel ="01", B quando sel ="10", coloque "0...0" na saída quando sel ="00" e mantenha a saída em alta impedância "Z...Z" quando sel="11".
 
::*Compile o código e em seguida faça a simulação, para verificar se o circuito funciona conforme
 
especificado. 
 
::*Anote as mensagens de warning do compilador.
 
 
<syntaxhighlight lang=vhdl>
 
---------------------------------------
 
-- File: mux.vdh
 
---------------------------------------
 
-- Declaração das Bibliotecas e Pacotes
 
--
 
LIBRARY ieee;
 
USE _________________________ ;
 
 
---------------------------------------
 
-- Especificação das entradas e saídas e nome da ENTITY
 
ENTITY mux IS
 
  PORT (
 
  __ , __ : ___ STD_LOGIC_VECTOR (7 DOWNTO 0);
 
  sel : IN ____________________________ ;
 
  ___ : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
 
END _____ ;
 
---------------------------------------
 
ARCHITECTURE example OF _____ IS
 
BEGIN
 
  PROCESS (a, b, ____ )
 
  BEGIN
 
    IF (sel = "00") THEN
 
      c <= "00000000";
 
    ELSIF (__________) THEN
 
      c <= a;
 
    _____ (sel = "10") THEN
 
      c <= __;
 
    ELSE
 
      c <= (OTHERS => '__');
 
    END ___ ;
 
  END _________ ;
 
END _________ ;
 
---------------------------------------
 
</syntaxhighlight>
 
{{collapse bottom}}
 
 
{{collapse top | EL3 -  Conversor de Binário para BCD}}
 
*Considere um número decimal entre 0000 e 9999.  Usando operadores predefinidos, obtenha na saída os digitos decimais separados. 
 
:*Escreva o código VHDL e analise o número de elementos lógicos necessários. 
 
:*Faça a simulação funcional do circuito.
 
<center> [[Arquivo:tb_bin2bcd.jpg]]<br> '''Fig. 20 - Simulação da conversão de binário para BCD''' </center>
 
:*Compare sua implementação com os outros estudantes e analise as diferenças, observe o código RTL, o número de elementos lógicos e também o tempo de propagação.
 
::Para separar os dígitos decimais do número de entrada pense nos operadores de "+", "-", "*", "/", "REM" e "MOD".
 
::Para facilitar os testes e a troca de informações entre as equipes, a ENTITY deverá ter o seguinte formato:
 
<syntaxhighlight lang=vhdl>
 
entity bin2bcd is
 
port (
 
X_bin         : in std_logic_vector(13 downto 0);  --  0000 a 9999
 
M_bcd : out std_logic_vector(3 downto 0);  --  Milhar
 
C_bcd : out std_logic_vector(3 downto 0);  --  Centena
 
D_bcd : out std_logic_vector(3 downto 0);  --  Dezena
 
U_bcd : out std_logic_vector(3 downto 0)); --  Unidade
 
 
end entity;
 
 
architecture example of bin2bcd is
 
--declaração de sinais auxiliares
 
 
begin
 
--descrição do hardware
 
 
end architecture;
 
</syntaxhighlight>
 
:: Note que com ''X_bin'' 14 bits é possível representar números sem sinal entre ''0'' e <math> 2^{14}-1 </math>.  No entanto, os testes devem ser limitados a números entre 0000 e 9990, pois não há especificação para valores maiores que 9999.
 
:: Existe um algoritmo [https://en.wikipedia.org/wiki/Double_dabble Double Dabble] que possibilita fazer essa conversão com menos hardware.
 
::Dica para converter de INTEGER para STD_LOGIC_VECTOR de 4 bits.
 
M_bcd <= std_logic_vector(to_unsigned(M,4));
 
{{collapse bottom}}
 
-->
 
{{collapse top | EL1 - Resolução dos exercícios do Cap 3}}
 
*Resolva os exercícios do capítulo 3 (1, 2, '''9''', 11, 12, 13, 14-17, '''18''', '''20''', '''22''', 23-30) pag. 81 a 89
 
{{collapse bottom}}
 
 
{{collapse top | EL2 - Resolução dos exercícios do Cap 4}}
 
*Resolva os exercícios do capítulo 4 (4-8, '''9''', 10-11, 13, 15-16, '''17''' ) pag. 115 a 120
 
{{collapse bottom}}
 
 
{{collapse top | EL3 - Resolução dos exercícios do Cap 5}}
 
*Resolva os exercícios do capítulo 5 (1, 2, '''3''', 4, '''6''', '''7''', 8-9, '''10-11''', '''14-16''', 17-18, '''19''' ) pag. 144 a 150
 
{{collapse bottom}}
 
<!--
 
{{collapse top | EL6 - Resolução dos exercícios do Cap 6}}
 
*Resolva os exercícios do capítulo 6 (1, '''2''', 3-4, '''5''', 6-7, '''9*''', '''10-11''', 12*, 13*, '''14''', '''15''') pag. 172 a 176.
 
{{collapse bottom}}
 
 
{{collapse top | EL7 - Resolução dos exercícios do Cap 8 e 9}}
 
*Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
 
*Resolva os exercícios da capítulo 9 (1-3, 6-9) pag. 238 a 239.
 
{{collapse bottom}}
 
-->
 
  
 
==Recursos de Laboratório==
 
==Recursos de Laboratório==

Edição das 12h31min de 14 de fevereiro de 2017

MURAL DE AVISOS E OPORTUNIDADES DA ÁREA DE TELECOMUNICAÇÕES


Registro Diário e Avaliação

ARTIGOS DE ALUNOS DE SEMESTRES ANTERIORES

2016-2
2016-1
2015-2
2015-1

Recursos de Laboratório

Quartus/Modelsim/QSIM

Nos laboratórios do IFSC, os softwares Quartus/Modelsim/QSIM estão disponíveis diretamente na plataforma LINUX. Utilize preferencialmente a versão 13.0sp1 (32 bits), pois ela tem suporte para os FPGAs mais antigos como a familia Cyclone I.

Para uso fora do IFSC dos recursos computacionais com licença educacional, o IFSC disponibiliza para seus alunos o IFSC-CLOUD. Atualmente a forma mais eficiente de acesso é através do Cliente X2GO. O procedimento de instalação/ configuração e uso do Quartus/Modelsim/QSIM está descrito em Acesso ao IFSC-CLOUD#Cliente X2GO (recomendado).

Sharelatex

Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a plataforma Sharelatex do IFSC-CLOUD. Utilize preferencialmente o modelo de artigo no padrão ABNT.


Links auxiliares

Livros/Resumos sobre VHDL

Packages não padronizados

Simulador Modelsim

Fabricantes de DLPs

Fabricantes de kits com DLPS

Leituras recomendadas


Padrões IEEE para o VDHL

Os padrões IEEE [1]estão disponíveis para consulta se você estiver na rede do IFSC. Para a linguagem VHDL consulte os padrões: 1164,1076

Packages da IEEE

Registro Diário e Avaliação Anteriores

Referências Bibliográficas:



Curso de Engenharia de Telecomunicações