Histórico de edições de “Circuito Somador - John”

Ir para navegação Ir para pesquisar

Como selecionar: marque as caixas de seleção das versões que deseja comparar e pressione enter ou clique no botão na parte inferior do formulário.
Legenda: (atu) = diferenças em relação a última versão, (ant) = diferenças em relação a versão anterior, m = edição menor.

  • atuant 22h11min de 5 de dezembro de 2015Kamila.r discussão contribs 896 bytes +896 Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/199 --32 bits library ieee; use ieee.numeric_bit.all; entity adder is port( a,b: in unsigned (31 downt...'