Circuito Multiplicador - John

De MediaWiki do Campus São José
Revisão de 16h22min de 7 de dezembro de 2015 por Kamila.r (discussão | contribs) (Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/333 --32 bits library ieee; use ieee.numeric_bit.all; entity multiplier is port( a,b: in unsigned (31 d...')
(dif) ← Edição anterior | Revisão atual (dif) | Versão posterior → (dif)
Ir para navegação Ir para pesquisar

Estrutura

Código VHDL

--Book: John/333
--32 bits
library ieee;
use ieee.numeric_bit.all;

entity multiplier is
	port(
		a,b: in unsigned (31 downto 0);
		c: out unsigned(63 downto 0));
end entity;

architecture multiplier of multiplier is
	begin
	c <= a * b;
end architecture;

Testbench

  • Código
  • Resultado (print)

Simulações

Nº Bits ALMs Delay Potência (mW)
x x x x
x x x x
x x x x
x x x x