Histórico de edições de “Circuito Multiplicador - John”

Ir para navegação Ir para pesquisar

Como selecionar: marque as caixas de seleção das versões que deseja comparar e pressione enter ou clique no botão na parte inferior do formulário.
Legenda: (atu) = diferenças em relação a última versão, (ant) = diferenças em relação a versão anterior, m = edição menor.

  • atuant 16h22min de 7 de dezembro de 2015Kamila.r discussão contribs 764 bytes +764 Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/333 --32 bits library ieee; use ieee.numeric_bit.all; entity multiplier is port( a,b: in unsigned (31 d...'