Uso do Quartus II nos Labs do IFSC

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar

Uso do Quartus II nos Labs do IFSC ou com conexão a rede do IFSC


  • Para executar o Quartus II digite em um terminal
quartus  
  • Se ainda não tiver sido indicado o local da licença, selecione [Tools>Options>License Setup], e indique o local onde está a licença do software License File:
  • se estiver usando a rede do IFSC: 1800@vm-lan2
  • Se estiver usando a IFSC-CLOUD: 1800@vm-lan2.sj.ifsc.edu.br
  • ou opte pela avaliação de 30 dias
  • Em seguida abra [Tools>Options>Intenet Conectivity] e indique o local onde está o Web browser: /opt/firefox/firefox
  • Agora o Quartus está pronto para uso.
  • Para utilizar o QSIM - Quartus II Simulator Tools for Education na versão 13 do QUARTUS, basta criar um arquivo vwf em [File>New>Verification/Debugging Files|University Program VWF], adicionando os sinais de entrada/saida/internos desejados e realizar a simulação.

Uso fora do IFSC

Instalar Quartus II Web Edition Software da Altera com ISOs

su administrador
sudo su
  • montar os ISOs no UBUNTU e executar os setup indicando o diretório de instalação /opt/altera/13.1we
mkdir /media/quartus
export ISOS_QUARTUS=(diretório onde estão as isos por exemplo /home/gabriel/Downloads)
mount -o loop $ISOS_QUARTUS/Quartus-web-13.1.0.162.iso /media/quartus
executar o autorun.sh ./media/quartus/autorun.sh
  • desmontar o ISO no UBUNTU
umount /media/quartus

Instalar Quartus II Subscription Edition da Altera com ISOs

mkdir /media/quartus
Entre no diretório onde estão as ISOs (por exemplo cd /home/gabriel/Downloads)
mount -o loop Quartus-13.1.0.162-linux.iso /media/quartus
/media/quartus/autorun.sh 
(abrirá uma janela, clique em Install DVD 1 of 3 e continue a instalação)
mount -o loop Quartus-13.1.0.162-devices-1.iso /media/quartus
/media/quartus/autorun/autorun 
(abrirá uma janela, clique em Install DVD 2 of 3 e continue a instalação)
  • desmontar os ISOs no LINUX
umount /media/quartus
umount /media/quartus

Colocação do lançador do Quartus II no desktop

Abra um terminal, vá até Área de trabalho(por exemplo cd /home/gabriel/Área\ de\ trabalho/) e cole os seguintes comandos:

Quartus 13.1 WE cat quartuswe.desktop cat > quartuswe.desktop << EOF [Desktop Entry] Name=Quartus 13.1 WE Comment=Altera Quartus v.13.1 Web Edition Exec=/opt/altera/13.1we/quartus/bin/quartus Icon=/opt/altera/13.1we/quartus/adm/quartusii.png OnlyShowIn=GNOME;Unity; Terminal=false Type=Application X-GNOME-Autostart-Phase=Applications X-GNOME-AutoRestart=true NoDisplay=true EOF chmod 0755 quartuswe.desktop </syntaxhighlight>

Quartus 13.1 SE cat quartus.desktop cat > quartus.desktop << EOF [Desktop Entry] Name=Quartus 13.1 SE Comment=Altera Quartus v.13.1 Subscription Edition Exec=/opt/altera/13.1/quartus/bin/quartus Icon=/opt/altera/13.1/quartus/adm/quartusii.png OnlyShowIn=GNOME;Unity; Terminal=false Type=Application X-GNOME-Autostart-Phase=Applications X-GNOME-AutoRestart=true NoDisplay=true EOF chmod 0755 quartus.desktop

</syntaxhighlight>

Configuração da USB para programação do FPGA

Além das configurações acima, é necessário também configura a USB-Blaster para ser utilizada através da USB. As instruções estão detalhadas em Configuração da USB para programação do FPGA via JTAG. O posterior uso e programação do FPGA são detalhados em Programando o FPGA através da USB-Blaster.

Correção de BUG no QSIM.

Ao instalar o QSIM pode ocorrer um erro (bad option "-readonly": must be -group, -owner or -permissions) na hora de abrir o arquivo de projeto gerado no Quartus II. A correção é abrir o arquivo quartus/common/tcl/apps/qsim/qsim_script.tcl e comentar as linhas:

 699 #file attributes $qsim::project_dir/qsim/$qsim::project_name.sim.vwf -readonly 1
 812 #file attributes $qsim::project_dir/qsim/$qsim::project_name.sim.vwf -readonly 1
1413 #file attributes db -readonly 0

FONTE: http://www.alteraforum.com/forum/archive/index.php/t-32358.html

Criar alias para os comandos na conta do usuário

Para criar um alias para os comandos quartus. Insira as linhas abaixo no final do arquivo .bashrc tail .bashrc cat >> .bashrc << EOF

  1. Alias para uso do Quartus II da ALTERA

alias quartuswe='/opt/altera/13.1we/quartus/bin/quartus' alias quartus='/opt/altera/13.1/quartus/bin/quartus'

EOF tail .bashrc bash </syntaxhighlight>