Deserial/Serial - Pedroni VHDL

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar

Estrutura

  • Circuito deserializador/serializador (Recebe logic, sai logic_vector)
  • Possui um contador de teste afim de depuração (Exemplo do Loop: conta até 3 e joga o bit que está na entrada ṕara a saída.)

VHDL

Código

Testbench

Código
  • Resultado Funcional:

200px

  • Resultado Temporal:

200px

  • Report Path

200px

  • Report Timing

200px

  • Caminho crítico

200px

Simulações

Nº Bits ALMs Delay Potência (mW)
8 43 x 139.98
64 x x x
128 x x x
256 x x x