Deserial/Serial - Pedroni VHDL

De MediaWiki do Campus São José
Revisão de 09h43min de 31 de março de 2016 por Kamila.r (discussão | contribs) (→‎Simulações)
Ir para navegação Ir para pesquisar

Estrutura

  • Circuito deserializador/serializador (Recebe logic, sai logic_vector)
  • Possui um contador de teste afim de depuração (Exemplo do Loop: conta até 3 e joga o bit que está na entrada ṕara a saída.)

VHDL

Código

Testbench

Código
  • Resultado Funcional:

200px

  • Resultado Temporal:

200px

  • Report Path
  • Report Timing


Simulações

Nº Bits ALMs Delay Potência (mW)
x x x x
x x x x
x x x x
x x x x