Mudanças entre as edições de "DLP29006-Engtelecom(2019-1) - Prof. Marcos Moecke"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
 
(84 revisões intermediárias por 2 usuários não estão sendo mostradas)
Linha 1: Linha 1:
 
{{DivulgueEngtelecom}}
 
{{DivulgueEngtelecom}}
 
==Registro on-line das aulas==
 
==Registro on-line das aulas==
{{collapse top| expand=true |  Unidade 1 - Introdução a disciplina}}
+
{{collapse top|  Unidade 1 - Introdução a disciplina}}
 
===Unidade 1 - Introdução a disciplina===
 
===Unidade 1 - Introdução a disciplina===
 
* 2 AULAS
 
* 2 AULAS
Linha 72: Linha 72:
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| expand=true | Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS}}
+
{{collapse top| Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS}}
  
 
===Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS===
 
===Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS===
Linha 298: Linha 298:
  
 
{{collapse top | Unidade 3 - Tipos de Dados e Operadores em VHDL}}
 
{{collapse top | Unidade 3 - Tipos de Dados e Operadores em VHDL}}
====ATUAL====
 
 
 
===Unidade 3 - Tipos de Dados e Operadores em VHDL===
 
===Unidade 3 - Tipos de Dados e Operadores em VHDL===
 
* 7 AULAS
 
* 7 AULAS
<!--
+
;Aula 7 (28 fev):
;Aula 7 (5 mar):
+
*Comentários no código (duplo traço --)
 +
-- Isso eh uma linha de comentario
 +
y <= a * b ; --o sinal y recebe o resultado da multiplicacao a x b
 +
*Representação de números e caracteres em VHDL.
 +
:*Caracteres
 +
caracter:  'A' 'x' '#' (com aspas simples)
 +
string de caracteres: "IFSC" "teste" "teste123"
 +
 
 +
:*Números em geral
 +
bit único:  '0' '1' 'Z' (com aspas simples)
 +
vetor de bits: "0110"  "101001Z" (com aspas duplas)
 +
vetor de 1 bit: "0" "1" (com aspas duplas)
 +
inteiros: 5 1101 1102  (sem aspas)
 +
 
 +
:*Números binários:
 +
0 -> '0'
 +
7 -> "0111" ou b"0111" ou B"0111"
 +
1023 -> "001111111111" ou b"1111111111"  ou B"1111111111"
 +
 
 +
:*Números octais:
 +
44  ->  5*8^1 + 4*8^0  -> O"54" ou o"54"
 +
1023 ->  1*8^3 + 7*8^2 + 7*8^1 + 7*8^0 -> o"1777" 8#1777#
 +
 
 +
:*Números Hexadecimais:
 +
1023 -> 3*16^2 + 15*16^1 + 15*16^0 = X"3FF" ou x"3FF" 16#3FF#
 +
 
 +
:*Números decimais:
 +
1023 -> 1023 ou 1_023
 +
1000 -> 1000 ou 1_000 ou 1E3
 +
 
 +
:*Números em outras bases (de 2 a 16)
 +
5#320# (3*5^2 + 2*5^1 + 0*5^0) -> 85
 +
3#201#E4 (2*3^2+0*3^1+1*3^0)*3^4 -> 1539
 +
 
 
*Tipos de Dados em VHDL.
 
*Tipos de Dados em VHDL.
 
:*Objetos de VHDL: CONSTANT, SIGNAL, VARIABLE, FILE.
 
:*Objetos de VHDL: CONSTANT, SIGNAL, VARIABLE, FILE.
Linha 311: Linha 342:
 
::* '''ATENÇÃO!!! Não use as bibliotecas que não são padrão (''std_logic_arith, std_logic_unsigned, std_logic_signed''''')
 
::* '''ATENÇÃO!!! Não use as bibliotecas que não são padrão (''std_logic_arith, std_logic_unsigned, std_logic_signed''''')
 
::* Ler e guardar a página sobre [[Aritmética com vetores em VDHL]]
 
::* Ler e guardar a página sobre [[Aritmética com vetores em VDHL]]
:* Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
 
{{collapse top | Código Multiplicador}}
 
<syntaxhighlight lang=vhdl>
 
--LIBRARY ieee;
 
--USE ieee.numeric_std.all;
 
  
ENTITY multiplicador4x4 IS
+
* Classificação dos tipos de dados.
-- multiplicador usando UNSIGNED
+
:* Tipos de dados: BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, STRING, STD_(U)LOGIG, STD_(U)LOGIG_VECTOR
-- PORT (a, b: IN UNSIGNED(3 DOWNTO 0);    -- min(a) = 0; max(a) = 15      <- 4 bits
 
-- y: OUT UNSIGNED(7 DOWNTO 0));          -- min(a*b) = 0, max(a*b) = 225  -> 8 bits
 
  
-- multiplicador usando SIGNED
+
<syntaxhighlight lang=vhdl>
-- PORT (a, b: IN SIGNED(3 DOWNTO 0);     -- min(a) = -8; max(a) = 7      <- 4 bits
+
package standard is
-- y: OUT SIGNED(7 DOWNTO 0));             -- min(a*b) = -56, max(a*b) = 64 -> 8 bits
+
type boolean is (false,true);
 
+
type bit is ('0', '1');
-- multiplicador usando INTEGER (positivos)
+
type severity_level is (note, warning, error, failure);
-- PORT (a, b: IN INTEGER RANGE 0 TO 15;   -- min(a) = 0; max(a) = 15      -> 4 bits
+
type integer is range -2147483647 to 2147483647;  
-- y: OUT INTEGER RANGE 0 TO 225);         -- min(a*b) = 0, max(a*b) = 225  -> 8 bits
+
type real is range -1.0E308 to 1.0E308;
 +
type time is range -2147483648 to 2147483647
 +
units
 +
fs;
 +
ps = 1000 fs;
 +
ns = 1000 ps;
 +
us = 1000 ns;
 +
ms = 1000 us;
 +
sec = 1000 ms;  
 +
min = 60 sec;  
 +
hr = 60 min;
 +
end units;
 +
subtype natural is integer range 0 to integer'high;
 +
subtype positive is integer range 1 to integer'high;  
 +
type string is array (positive range <>) of character;
 +
type bit_vector is array (natural range <>) of bit;
 +
</syntaxhighlight>
  
-- multiplicador usando INTEGER (positivos e negativos)
+
<syntaxhighlight lang=vhdl>
-- PORT (a, b: IN INTEGER RANGE -8 TO 7;   -- min(a) = -8; max(a) = 7       -> 4 bits
+
PACKAGE std_logic_1164 IS
-- y: OUT INTEGER RANGE -56 TO 64);       -- min(a*b) = -56, max(a*b) = 64 -> 8 bits
+
TYPE std_ulogic IS ( 'U',  -- Uninitialized
END ENTITY;
+
                        'X',  -- Forcing  Unknown
 
+
                        '0',  -- Forcing  0
ARCHITECTURE v1 OF multiplicador4x4 IS
+
                        '1',  -- Forcing  1
BEGIN
+
                        'Z', -- High Impedance    
y <= a * b;
+
                        'W',  -- Weak    Unknown
END ARCHITECTURE;
+
                        'L',  -- Weak    0        
 +
                        'H',  -- Weak    1     
 +
                        '--- Don't care
 +
                      );
 +
TYPE std_ulogic_vector IS ARRAY ( NATURAL RANGE <> ) OF std_ulogic;
 +
SUBTYPE std_logic IS resolved std_ulogic;
 +
TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;
 
</syntaxhighlight>
 
</syntaxhighlight>
*Observar o número de elementos lógicos, bits usados para representar as entradas e saídas.
 
*Observar o código RTL obtido.
 
*Realizar a simulação com entradas UNSIGNED e INTEGER na faixa de valores de 0 até 15, e analisar se o valor da saída está correto.
 
*Realizar a simulação com entradas SIGNED e INTEGER na faixa de valores de -8 até 7, e analisar se o valor da saída está correto.
 
{{collapse bottom}}
 
:: Ver pag. 39 a 54 de <ref name="PEDRONI2010b"/>
 
  
 
+
:* Resumo dos Tipos predefinidos.
;Aula 8 (15 ago):
+
{| class="wikitable sortable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
*Tipos de Dados em VHDL.
+
! scope="col" width=15% align="left"| Tipo de Dado  
* Classificação dos tipos de dados.
+
! scope="col" width=10% align="left"| Package
:* Tipos de dados: BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, STRING, STD_(U)LOGIG, STD_(U)LOGIG_VECTOR
+
! scope="col" width=7%  align="left"| Library
 
+
! scope="col" width=50% align="left"| Valores
<syntaxhighlight lang=vhdl>
+
! scope="col" width=15% align="left"| Observações
package standard is
+
|-
type boolean is (false,true);
+
| BOOLEAN || standard || std || TRUE e FALSE || sintetizável
type bit is ('0', '1');
+
|-
type severity_level is (note, warning, error, failure);
+
| BIT || standard || std ||  valores '0', '1' || sintetizável
type integer is range -2147483647 to 2147483647;
+
|-
type real is range -1.0E308 to 1.0E308;
+
| INTEGER || standard || std || números inteiros de 32 bits [de -2^31 até + (2^31 - 1)] || sintetizável
type time is range -2147483648 to 2147483647
+
|-
units
+
| NATURAL || standard || std || números inteiros não negativos [de 0 até + (2^31 - 1)] || sintetizável
fs;
+
|-
ps = 1000 fs;
+
| POSITIVE || standard || std || números inteiros positivos [de 1 até + (2^31 - 1)] || sintetizável
ns = 1000 ps;
+
|-
us = 1000 ns;
+
| BOOLEAN_VECTOR || standard (2008) || std || vetor de BOOLEAN || sintetizável
ms = 1000 us;
+
|-
sec = 1000 ms;
+
| BIT_VECTOR || standard || std ||  vetor de BIT || sintetizável
min = 60 sec;
+
|-
hr = 60 min;
+
| INTEGER_VECTOR || standard || std || vetor de INTEGER || sintetizável
end units;
+
|-
subtype natural is integer range 0 to integer'high;
+
| REAL || standard || std || números reais [de -1.0E-38 até + 1.0E-38] || simulação
subtype positive is integer range 1 to integer'high;
+
|-
type string is array (positive range <>) of character;
+
| CHARACTER || standard || std || caracteres ASCII ||
type bit_vector is array (natural range <>) of bit;
+
|-
</syntaxhighlight>
+
| STRING || standard || std || vetor de CHARACTER ||
 
+
|-
<syntaxhighlight lang=vhdl>
+
| STD_LOGIC || std_logic_1164 || ieee || valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-' || sintetizável
PACKAGE std_logic_1164 IS
+
|-
TYPE std_ulogic IS ( 'U',  -- Uninitialized
+
| STD_LOGIC_VECTOR || std_logic_1164 || ieee || vetor de STD_LOGIC || sintetizável
                        'X',  -- Forcing  Unknown
 
                        '0',  -- Forcing  0
 
                        '1',  -- Forcing  1
 
                        'Z',  -- High Impedance 
 
                        'W',  -- Weak    Unknown
 
                        'L',  -- Weak    0     
 
                        'H',  -- Weak    1     
 
                        '-'  -- Don't care
 
                      );
 
TYPE std_ulogic_vector IS ARRAY ( NATURAL RANGE <> ) OF std_ulogic;
 
SUBTYPE std_logic IS resolved std_ulogic;
 
TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;
 
</syntaxhighlight>
 
 
 
::* Exemplo 3.1 Buffer Tri-state
 
::: '''Importante''': O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O. 
 
::* Exemplo 3.2 Circuito com Saida "don't care"
 
 
 
:* Tipos de dados: SIGNED e UNSIGNED
 
::* Exemplo 3.3 Multiplicador (visto anteriormente)
 
 
 
 
 
;Aula 9 (16 ago):
 
:* Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
 
 
 
:* Resumo dos Tipos predefinidos.
 
{| class="wikitable sortable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
 
! scope="col" width=15% align="left"| Tipo de Dado  
 
! scope="col" width=10% align="left"| Package
 
! scope="col" width=7%  align="left"| Library
 
! scope="col" width=50% align="left"| Valores
 
! scope="col" width=15% align="left"| Observações
 
 
|-
 
|-
| BOOLEAN || standard || std || TRUE e FALSE || sintetizável
+
| SIGNED || numeric_std || ieee || STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal|| sintetizável
|-
 
| BIT || standard || std ||  valores '0', '1' || sintetizável
 
|-
 
| INTEGER || standard || std || números inteiros de 32 bits [de -2^31 até + (2^31 - 1)] || sintetizável
 
|-
 
| NATURAL || standard || std || números inteiros não negativos [de 0 até + (2^31 - 1)] || sintetizável
 
|-
 
| POSITIVE || standard || std || números inteiros positivos [de 1 até + (2^31 - 1)] || sintetizável
 
|-
 
| BOOLEAN_VECTOR || standard (2008) || std || vetor de BOOLEAN || sintetizável
 
|-
 
| BIT_VECTOR || standard || std ||  vetor de BIT || sintetizável
 
|-
 
| INTEGER_VECTOR || standard || std || vetor de INTEGER || sintetizável
 
|-
 
| REAL || standard || std || números reais [de -1.0E-38 até + 1.0E-38] || simulação
 
|-
 
| CHARACTER || standard || std || caracteres ASCII ||
 
|-
 
| STRING || standard || std || vetor de CHARACTER ||
 
|-
 
| STD_LOGIC || std_logic_1164 || ieee || valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-' || sintetizável
 
|-
 
| STD_LOGIC_VECTOR || std_logic_1164 || ieee || vetor de STD_LOGIC || sintetizável
 
|-
 
| SIGNED || numeric_std || ieee || STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal|| sintetizável
 
 
|-
 
|-
 
| UNSIGNED || numeric_std || ieee || STD_LOGIC_VECTOR que aceitam operações aritméticas  || sintetizável
 
| UNSIGNED || numeric_std || ieee || STD_LOGIC_VECTOR que aceitam operações aritméticas  || sintetizável
Linha 458: Linha 440:
 
|}
 
|}
  
 +
:* Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
  
  
:* Tipos definidos pelo usuário:
+
:: Ver pag. 39 a 54 de <ref name="PEDRONI2010b"/>
::* Escalares (Inteiros e Enumerados)
+
 
::* Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D
+
;Aula 8 (1 mar):
:::Exemplos Ex 3.5, Ex 3.6 e Ex 3.7
+
*Tipos de Dados em VHDL (continuação)
:* RECORD e SUBTYPE
+
:* Exemplo 3.1 Buffer Tri-state
:* Uso de ARRAYs em portas
+
{{collapse top | Buffer tri_state}}
::* Declaração do TYPE em PACKAGE
 
::* Exemplo 3.8: Multiplexador com porta 1D x 1D.::
 
Ver pag. 60 a 73 de <ref name="PEDRONI2010b"/>
 
-->
 
<!--
 
;Aula 9 (12 mar):
 
* '''Desafio''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 5 vagas. Cada entrada x(n) está alta '1' se a vaga está vazia. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias.
 
:'''Importante''':  O ''don't care'' não funciona como se espera para uma entrada, por isso, use ''don't care'' apenas para saídas. 
 
x = "1----" -- não funciona em VHDL
 
*Se quiser mesmo usar ''don't care'' em entradas use a função '''std_match''' do pacote '''numeric_std'''
 
std_match(x, "1----") -- funciona em VHDL
 
*Faça a simulação do circuito para ver se está funcionando,
 
[[Arquivo:vagas5.png | 800px]]
 
-->
 
<!--
 
;Aula 10 e 11 (22 e 23 ago):
 
*Qualificação de tipos, conversão de tipos (automática, casting e funções de conversão).
 
:* Resumo das funções de conversão de tipos (Tabela 3.10) e ver [[Aritmética com vetores em VDHL]]
 
:* Exercicio: Multiplicador/Divisor/Somador/Subtrator com sinal e sem sinal (entradas do tipo STD_LOGIC VECTOR)
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
LIBRARY ieee;
+
library ieee;
USE ieee.std_logic_1164.all;
+
use ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
+
 
+
entity tri_state is
ENTITY operadores IS
+
  generic (N: NATURAL := 1);
PORT (
+
  port
a, b: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
+
  (
mult: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
+
    input      : in std_logic_vector(N-1 downto 0);
div: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
+
    ena        : in std_logic;
sum: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
+
    output    : out std_logic_vector(N-1 downto 0);
sub: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
+
  );
    );
+
end entity;
END ENTITY;
+
 
+
architecture tri_state of tri_state is
ARCHITECTURE type_conv_arch OF operadores IS
+
begin
BEGIN
+
   output <= input when ena = '1' else "Z";
   -- Inserir o código e definir o tamanho das saidas.
+
end architecture;
END ARCHITECTURE;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
:: Ver pag. 73 a 78 de <ref name="PEDRONI2010b"/>
+
{{collapse bottom}}
  
 +
:: '''Importante''': O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O. 
  
;Aula 12 (24 ago):
+
:* Exemplo 3.2 Circuito com Saida "don't care"
*Operadores em VHDL.
 
:* Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
 
:* Sobrecarga de operadores
 
  
{{collapse top | Conversor de Binário para BCD}}
+
{{collapse top | Saída don't care}}
*Considere um número decimal entre 000 e 999.  Usando operadores predefinidos, obtenha na saída os dígitos decimais separados. 
+
<syntaxhighlight lang=vhdl>
:*Escreva o código VHDL e analise o número de elementos lógicos necessários. 
+
library ieee;
:*Faça a simulação funcional do circuito.
+
use ieee.std_logic_1164.all;
<center> [[Arquivo:tb_bin2bcd.jpg]]<br> '''Fig. 20 - Simulação da conversão de binário para BCD''' </center>
 
:*Compare sua implementação com os outros estudantes e analise as diferenças, observe o código RTL, o número de elementos lógicos e também o tempo de propagação.
 
::Para separar os dígitos decimais do número de entrada pense nos operadores de "+", "-", "*", "/", "REM" e "MOD", shift.
 
::Para facilitar os testes e a troca de informações entre as equipes, a ENTITY deverá ter o seguinte formato:
 
<syntaxhighlight lang=vhdl>
 
entity bin2bcd is
 
port (
 
X_bin         : in  std_logic_vector(9 downto 0); --  000 a 999
 
C_bcd : out std_logic_vector(3 downto 0);  --  Centena
 
D_bcd : out std_logic_vector(3 downto 0);  --  Dezena
 
U_bcd : out std_logic_vector(3 downto 0)); --  Unidade
 
  
 +
entity Ex3_2 is
 +
  port
 +
  (
 +
    x : in STD_LOGIC_VECTOR(1 downto 0);
 +
    y : out STD_LOGIC_VECTOR(1 downto 0)
 +
  );
 
end entity;
 
end entity;
  
architecture example of bin2bcd is
+
architecture un3 of Ex3_2 is
--declaração de sinais auxiliares
 
 
 
 
begin
 
begin
--descrição do hardware
+
  y <= "00" when x = "00" else
 
+
      "01" when x = "10" else
 +
      "10" when x = "01" else
 +
      "--";
 
end architecture;
 
end architecture;
 
</syntaxhighlight>
 
</syntaxhighlight>
:: Note que com ''X_bin'' 10 bits é possível representar números sem sinal entre ''0'' e <math> 2^{10}-1 </math>.  No entanto, os testes devem ser limitados a números entre 000 e 999, pois não há especificação para valores maiores que 999.
 
:: Existe um algoritmo [https://en.wikipedia.org/wiki/Double_dabble Double Dabble] que possibilita fazer essa conversão com menos hardware.
 
::Dica para converter de INTEGER para STD_LOGIC_VECTOR de 4 bits.
 
M_bcd <= std_logic_vector(to_unsigned(M,4));
 
 
{{collapse bottom}}
 
{{collapse bottom}}
:: Ver pag. 91 a 108 de <ref name="PEDRONI2010b"/>
 
  
;Aula 13 (29 ago):
+
:* Tipos de dados: SIGNED e UNSIGNED
*Atributos em VHDL.
 
:* Atributos predefinidos:
 
::*tipo escalar e enumerados; tipo array; de sinal;
 
:* Atributos de síntese:
 
::* '''ATTRIBUTE enum_encoding''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_enum_encoding.htm]
 
::* '''ATTRIBUTE chip_pin''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_chip.htm]
 
::* '''ATTRIBUTE keep''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_keep.htm]
 
  
 +
:* Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
  
;Aula 14 (30 ago):
+
{{collapse top | Código Multiplicador}}
*Atributos em VHDL.
 
:::* Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
 
:::* Exemplo 5.8 Gerador de Pulsos estreitos
 
::* '''ATTRIBUTE preserve''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_preserve.htm], [http://quartushelp.altera.com/15.0/mergedProjects/logicops/logicops/def_preserve_fanout_free_node.htm].
 
::* '''ATTRIBUTE noprune'''[http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_noprune.htm].
 
:::* Exemplo 4.5: Registros redundantes (Síntese sem e com os atributos keep, preserve e noprune)
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
ENTITY redundant_registers IS
+
--LIBRARY ieee;
PORT (
+
--USE ieee.numeric_std.all;
clk, x: IN BIT;
+
 
y: OUT BIT);
+
ENTITY multiplicador4x4 IS
END ENTITY;
+
-- multiplicador usando UNSIGNED
+
-- PORT (a, b: IN UNSIGNED(3 DOWNTO 0);   -- min(a) = 0; max(a) = 15      <- 4 bits
ARCHITECTURE arch OF redundant_registers IS
+
-- y: OUT UNSIGNED(7 DOWNTO 0));           -- min(a*b) = 0, max(a*b) = 225  -> 8 bits
SIGNAL a, b, c: BIT;
+
 
        -- NORMAL -- 1 LE
+
-- multiplicador usando SIGNED
--ATTRIBUTE preserve: BOOLEAN;
+
-- PORT (a, b: IN SIGNED(3 DOWNTO 0);     -- min(a) = -8; max(a) = 7      <- 4 bits
--ATTRIBUTE preserve OF a, b, c: SIGNAL IS TRUE; -- 2 LE
+
-- y: OUT SIGNED(7 DOWNTO 0));             -- min(a*b) = -56, max(a*b) = 64 -> 8 bits
--ATTRIBUTE noprune: BOOLEAN;
+
 
--ATTRIBUTE noprune OF a, b, c: SIGNAL IS TRUE; --3 LE
+
-- multiplicador usando INTEGER (positivos)
--ATTRIBUTE keep: BOOLEAN;
+
-- PORT (a, b: IN INTEGER RANGE 0 TO 15;   -- min(a) = 0; max(a) = 15      -> 4 bits
--ATTRIBUTE keep of a,b,c: SIGNAL IS TRUE;
+
-- y: OUT INTEGER RANGE 0 TO 225);        -- min(a*b) = 0, max(a*b) = 225  -> 8 bits
BEGIN
 
PROCESS (clk)
 
BEGIN
 
IF (clk'EVENT AND clk='1') THEN
 
a <= x;
 
b <= x;
 
c <= x;
 
END IF;
 
END PROCESS;
 
y <= a AND b;
 
END ARCHITECTURE;
 
</syntaxhighlight>
 
Após a compilação do código acima, observe o número de elementos lógicos obtidos, observe o '''Technology Map''' dos circuitos gerados e verifique a localização dos FFs no '''Chip Planner'''.
 
<center> [[Arquivo:Ex4_5_NoAttribute.png | Sem Attribute| 400 px]] <br> '''Fig 12. Technology Map do Circuito sem Attribute'''</center>
 
<center> [[Arquivo:Ex4_5_PreserveAttribute.png| Preserve (or Keep) Attribute |400 px]] <br> '''Fig 13. Technology Map do Circuito com Attribute Preserve (or Keep) '''</center>
 
<center> [[Arquivo:Ex4_5_NopruneAttribute.png| Noprune Attribute| 400 px]] <br> '''Fig 14. Technology Map do Circuito com Attribute Noprune '''</center>:: Ver pag. 91 a 111 de <ref name="PEDRONI2010b"/>
 
  
:Ver: [[Medição de tempos de propagação em circuitos combinacionais]]
+
-- multiplicador usando INTEGER (positivos e negativos)
 +
-- PORT (a, b: IN INTEGER RANGE -8 TO 7;  -- min(a) = -8; max(a) = 7      -> 4 bits
 +
-- y: OUT INTEGER RANGE -56 TO 64);        -- min(a*b) = -56, max(a*b) = 64 -> 8 bits
 +
END ENTITY;
  
;Aula 15 (5 set):
+
ARCHITECTURE v1 OF multiplicador4x4 IS
 
+
BEGIN
:* Atributos definidos pelo usuário;
+
y <= a * b;
Uso da instrução ALIAS.
+
END ARCHITECTURE;
::*Exemplo de uso de alias no pacote numeric_std.vhd
 
<syntaxhighlight lang=vhdl>
 
  function ADD_UNSIGNED (L, R: UNSIGNED; C: STD_LOGIC) return UNSIGNED is
 
    constant L_LEFT: INTEGER := L'LENGTH-1;
 
    alias XL: UNSIGNED(L_LEFT downto 0) is L;
 
    alias XR: UNSIGNED(L_LEFT downto 0) is R;
 
    variable RESULT: UNSIGNED(L_LEFT downto 0);
 
    variable CBIT: STD_LOGIC := C;
 
  begin
 
    for I in 0 to L_LEFT loop
 
      RESULT(I) := CBIT xor XL(I) xor XR(I);
 
      CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I));
 
    end loop;
 
    return RESULT;
 
  end ADD_UNSIGNED;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
:: '''NOTA''': No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0). Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
+
*Observar o número de elementos lógicos, bits usados para representar as entradas e saídas.
-->
+
*Observar o código RTL obtido.
 +
*Realizar a simulação com entradas UNSIGNED e INTEGER na faixa de valores de 0 até 15, e analisar se o valor da saída está correto.
 +
*Realizar a simulação com entradas SIGNED e INTEGER na faixa de valores de -8 até 7, e analisar se o valor da saída está correto.
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| Unidade 4 - Código Concorrente}}
+
;Aula 9 e 10 (8 e 11 mar):
  
===Unidade 4 - Código Concorrente===
+
:* Tipos definidos pelo usuário:
* 4 AULAS
+
::* Escalares (Inteiros e Enumerados)
<!--
+
::* Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D
;Aula 16 - (6 set):
+
:::Exemplos Ex 3.5, Ex 3.6 e Ex 3.7
*Código Concorrente.
+
:* RECORD e SUBTYPE
:* Uso de Operadores
+
:* Uso de ARRAYs em portas
:* instrução WHEN-ELSE (WHEN)
+
::* Declaração do TYPE em PACKAGE
 +
::* Exemplo 3.8: Multiplexador com porta 1D x 1D.::
 +
Ver pag. 60 a 73 de <ref name="PEDRONI2010b"/>
 +
 
 +
* '''Desafio''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 5 vagas. Cada entrada x(n) está alta '1' se a vaga está vazia. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias.
 +
:'''Importante''':  O ''don't care'' não funciona como se espera para uma entrada, por isso, use ''don't care'' apenas para saídas. 
 +
x = "1----" -- não funciona em VHDL
 +
*Se quiser mesmo usar ''don't care'' em entradas use a função '''std_match''' do pacote '''numeric_std'''
 +
std_match(x, "1----") -- funciona em VHDL
 +
*Faça a simulação do circuito para ver se está funcionando,
 +
[[Arquivo:vagas5.png | 800px]]
 +
 
 +
 
 +
;Aula 11 (14 mar):
 +
*Qualificação de tipos, conversão de tipos (automática, casting e funções de conversão).
 +
:* Resumo das funções de conversão de tipos (Tabela 3.10) e ver [[Aritmética com vetores em VDHL]]
 +
:* Exercicio: Multiplicador/Divisor/Somador/Subtrator com sinal e sem sinal (entradas do tipo STD_LOGIC VECTOR)
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
<optional_label>: <target> <=
+
LIBRARY ieee;
<value> when <condition> else
+
USE ieee.std_logic_1164.all;
<value> when <condition> else
+
USE ieee.numeric_std.all;
<value> when <condition> else
+
...
+
ENTITY operadores IS
<value>;
+
PORT (
 +
a, b: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
 +
mult: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
 +
div: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
 +
sum: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
 +
sub: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
 +
    );
 +
END ENTITY;
 +
 +
ARCHITECTURE type_conv_arch OF operadores IS
 +
BEGIN
 +
  -- Inserir o código e definir o tamanho das saidas.
 +
END ARCHITECTURE;
 
</syntaxhighlight>
 
</syntaxhighlight>
::*Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
+
:: Ver função resize
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]'''
+
:: Ver pag. 73 a 78 de <ref name="PEDRONI2010b"/>
 +
 
 +
 
 +
;Aula 12 (22 mar):
 +
OBS: uso da função resize(object,size)
 +
<syntaxhighlight lang=vhdl>
 +
--============================================================================
 +
  --  RESIZE Functions
 +
  --============================================================================
 +
 +
  -- Id: R.1
 +
  function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED;
 +
  -- Result subtype: SIGNED(NEW_SIZE-1 downto 0)
 +
  -- Result: Resizes the SIGNED vector ARG to the specified size.
 +
  --        To create a larger vector, the new [leftmost] bit positions
 +
  --        are filled with the sign bit (ARG'LEFT). When truncating,
 +
  --        the sign bit is retained along with the rightmost part.
 
   
 
   
:* instrução WITH-SELECT-WHEN (SELECT)  
+
  -- Id: R.2
<syntaxhighlight lang=vhdl>
+
  function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED;
<optional_label>: with <expression> select
+
  -- Result subtype: UNSIGNED(NEW_SIZE-1 downto 0)
<target> <=
+
  -- Result: Resizes the SIGNED vector ARG to the specified size.
<value> when <choices>,
+
  --        To create a larger vector, the new [leftmost] bit positions
<value> when <choices>,
+
  --        are filled with '0'. When truncating, the leftmost bits
<value> when <choices>,
+
  --        are dropped.
...
 
<value> when others;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
::*Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES.
 
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]'''. '''Mas ATENÇÃO, faltam as virgulas após cada escolha'''.
 
  
:* Exemplo 5.1 + 5.2 mux: com 3 tipos de arquiteturas (com portas, com WHEN-ELSE, com WITH-SELECT)
+
*Operadores em VHDL.
::Verifique os três circuitos considerando as entradas x0 a x3 e a saída y com apenas um elemento.
+
:* Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
::Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
+
:* Sobrecarga de operadores
:::No caso do uso de WHEN-ELSE e WITH-SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
+
 
:::Qual é a solução para a descrição com portas?
+
{{collapse top | Conversor de Binário para BCD}}
:* Para selecionar uma entre várias arquiteturas para a mesma ENTITY use a CONFIGURATION.
+
*Considere um número decimal entre 000 e 999.  Usando operadores predefinidos, obtenha na saída os dígitos decimais separados. 
 +
:*Escreva o código VHDL e analise o número de elementos lógicos necessários. 
 +
:*Faça a simulação funcional do circuito.
 +
<center> [[Arquivo:tb_bin2bcd.jpg]]<br> '''Fig. 20 - Simulação da conversão de binário para BCD''' </center>
 +
:*Compare sua implementação com os outros estudantes e analise as diferenças, observe o código RTL, o número de elementos lógicos e também o tempo de propagação.
 +
::Para separar os dígitos decimais do número de entrada pense nos operadores de "+", "-", "*", "/", "REM" e "MOD", shift.
 +
::Para facilitar os testes e a troca de informações entre as equipes, a ENTITY deverá ter o seguinte formato:
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
CONFIGURATION which_mux OF mux IS
+
entity bin2bcd is
  FOR Operator_only END FOR;
+
port (
--   FOR with_WHEN END FOR;
+
X_bin         : in  std_logic_vector(9 downto 0); -- 000 a 999
--   FOR with_SELECT END FOR;
+
C_bcd : out std_logic_vector(3 downto 0); -- Centena
END CONFIGURATION;
+
D_bcd : out std_logic_vector(3 downto 0)-- Dezena
</syntaxhighlight>
+
U_bcd : out std_logic_vector(3 downto 0)); -- Unidade
::Ver pag. 121 a 127 de <ref name="PEDRONI2010b"/>
+
 
;Aula 17 (10 set):
+
end entity;
:* Uso da instrução FOR-GENERATE
 
<syntaxhighlight lang=vhdl>
 
label: FOR identificador IN faixa GENERATE
 
  [Parte_Declarativa
 
BEGIN]
 
  Instruções_concorrentes
 
  ...
 
END GENERATE [label];
 
</syntaxhighlight>
 
  
:*Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
+
architecture example of bin2bcd is
 +
--declaração de sinais auxiliares
  
<syntaxhighlight lang=vhdl>
+
begin
---------------------
+
--descrição do hardware
-- FILE my_pkg.vhd --
 
---------------------
 
library ieee;
 
use ieee.std_logic_1164.all;
 
  
package my_pkg is
+
end architecture;
type a_slv is array(natural range <>) of std_logic_vector (3 downto 0);
 
end package;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
:: Note que com ''X_bin'' 10 bits é possível representar números sem sinal entre ''0'' e <math> 2^{10}-1 </math>.  No entanto, os testes devem ser limitados a números entre 000 e 999, pois não há especificação para valores maiores que 999.
 +
:: Existe um algoritmo [https://en.wikipedia.org/wiki/Double_dabble Double Dabble] que possibilita fazer essa conversão com menos hardware.
 +
::Para ver os [https://wiki.sj.ifsc.edu.br/index.php/Medi%C3%A7%C3%A3o_de_tempos_de_propaga%C3%A7%C3%A3o_em_circuitos_combinacionais#Tempo_de_Propaga.C3.A7.C3.A3o_entre_entrada_e_sa.C3.ADda máximo atraso de propagação] entre a entrada e saída.
 +
::Dica para converter de INTEGER para STD_LOGIC_VECTOR de 4 bits.
 +
M_bcd <= std_logic_vector(to_unsigned(M,4));
 +
{{collapse bottom}}
 +
:: Ver pag. 91 a 108 de <ref name="PEDRONI2010b"/>
  
<syntaxhighlight lang=vhdl>
+
:Ver: [[Medição de tempos de propagação em circuitos combinacionais]]
---------------------------
 
-- FILE vector_adder.vhd --
 
---------------------------
 
library ieee work;
 
use ieee.std_logic_1164.all;
 
use ieee.numeric_std.all;
 
use work.my_pkg.all;
 
  
entity vector_adder is
 
generic (N : natural := 4);
 
port (
 
a   : in a_slv (0 to N-1);
 
soma : out std_logic_vector (3 downto 0));
 
end entity;
 
  
-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.
+
;Aula 14 e 15 (25 e 28 mar):
 
+
Atributos em VHDL.
architecture ifsc_v1 of vector_adder is
+
* Atributos predefinidos:
signal soma_sig : signed(3 downto 0);
+
::*tipo escalar e enumerados; tipo array; de sinal;
begin
+
* Atributos de síntese:
-- soma_sig <= signed(a(0)) + signed(a(1)) 
+
Em VHDL existem diversos atributos de sintese que controlam a forma como o processo de "Analysis & Synthesis" é realizado pelo Quartus II. Uma listagem completa pode ser encontrada em:
-- soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2))
+
*[https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir.htm VHDL Synthesis Attributes and Directives] - Quartus Prime Pro Edition Help version 18.1
soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) +  signed(a(3));
+
soma <= std_logic_vector(soma_sig);
+
:* '''ATTRIBUTE enum_encoding''' [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_enum_encoding.htm]
 +
<syntaxhighlight lang=vhdl>
 +
type fruit is (apple, orange, pear, mango);
 +
attribute enum_encoding : string;
 +
attribute enum_encoding of fruit : type is "11 01 10 00";
 +
</syntaxhighlight>
 +
:* '''ATTRIBUTE chip_pin''' [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_chip.htm]
 +
<syntaxhighlight lang=vhdl>
 +
entity foo is
 +
  port (sel : in std_logic;
 +
      data : in std_logic_vector(3 downto 0);
 +
      o : out std_logic);
 +
end foo;
 +
architecture rtl of foo is
 +
           
 +
  attribute chip_pin : string;
 +
  attribute chip_pin of sel : signal is "C4";
 +
  attribute chip_pin of data : signal is "D1, D2, D3, D4";            
 +
begin
 +
    -- Specify additional code
 
end architecture;
 
end architecture;
 +
</syntaxhighlight>
 +
<i>
 +
O uso desse atributo sobrepõe a atribuição dos pinos através da IDE do Quartus II, e por isso não é recomendável.
  
-- Versão que realiza a soma usando um FOR GENERATE
+
O atributo de síntese chip_pin pode ser usado apenas em portas da entidade "top-level" do projeto.  .
architecture ifsc_v2 of vector_adder is
+
</i>
 
begin
 
  
 +
:* '''ATTRIBUTE keep''' [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_keep.htm]
  
end architecture;
+
<syntaxhighlight lang=vhdl>
 +
signal a,b,c : std_logic;
 +
attribute keep: boolean;
 +
attribute keep of a,b,c: signal is true;
 +
</syntaxhighlight>
 +
:::* Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
 +
:::* Exemplo 5.8 Gerador de Pulsos estreitos
 +
::* '''ATTRIBUTE preserve''' [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_preserve.htm], [https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#logicops/logicops/def_preserve_fanout_free_node.htm].
  
 +
<syntaxhighlight lang=vhdl>
 +
signal a,b,c : std_logic;
 +
attribute preserve: boolean;
 +
attribute preserve of a,b,c: signal is true;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
 +
:* '''ATTRIBUTE noprune'''[https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_file_dir_noprune.htm].
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
---------------------------
+
signal reg1: std_logic;
-- FILE vector_adder.vhd --
+
attribute noprune: boolean;
---------------------------
+
attribute noprune of reg1: signal is true;
configuration ifsc_cfg of vector_adder is
+
</syntaxhighlight>
-- for ifsc_v1 end for;
 
for ifsc_v2 end for;
 
end configuration;
 
</syntaxhighlight>
 
  
:*Ver pag. 127 a 134 de <ref name="PEDRONI2010b"/>
+
:::* Exemplo 4.5: Registros redundantes (Síntese sem e com os atributos keep, preserve e noprune)
 
+
<syntaxhighlight lang=vhdl>
 
+
ENTITY redundant_registers IS
;Aula 18 (12 set):
+
PORT (
*Código Concorrente.
+
clk, x: IN BIT;
:*Exemplo 5.4 - Decodificador genérico de endereços.
+
y: OUT BIT);
:*Exemplo de uso de operadores e instrução WITH-SELECT.
+
END ENTITY;
::Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
+
   
::*Fazer as seguintes alterações do código da ALU:
+
  ARCHITECTURE arch OF redundant_registers IS
:::* Inclusão de um sinal que indica "erro" quando ocorre ''overflow''/''underflow'' nas operações de soma, incremento ou decremento.
+
SIGNAL a, b, c: BIT;
:::* Inclusão de um circuito que satura o sinal no máximo positivo ou negativo nas situações de erro.
+
        -- NORMAL -- 1 LE
:::* Teste da ALU usando simulação funcional.
+
--ATTRIBUTE preserve: BOOLEAN;
 
+
--ATTRIBUTE preserve OF a, b, c: SIGNAL IS TRUE; -- 2 LE
;Aula 19 (19 set):
+
--ATTRIBUTE noprune: BOOLEAN;
* Implementação de conversor Binário para Gray
+
--ATTRIBUTE noprune OF a, b, c: SIGNAL IS TRUE; --3 LE
 +
--ATTRIBUTE keep: BOOLEAN;
 +
--ATTRIBUTE keep of a,b,c: SIGNAL IS TRUE;
 +
BEGIN
 +
PROCESS (clk)
 +
BEGIN
 +
IF (clk'EVENT AND clk='1') THEN
 +
a <= x;
 +
b <= x;
 +
c <= x;
 +
END IF;
 +
END PROCESS;
 +
y <= a AND b;
 +
END ARCHITECTURE;
 +
</syntaxhighlight>
 +
Após a compilação do código acima, observe o número de elementos lógicos obtidos, observe o '''Technology Map''' dos circuitos gerados e verifique a localização dos FFs no '''Chip Planner'''.
 +
<center> [[Arquivo:Ex4_5_NoAttribute.png | Sem Attribute| 400 px]] <br> '''Fig 12. Technology Map do Circuito sem Attribute'''</center>
 +
<center> [[Arquivo:Ex4_5_PreserveAttribute.png| Preserve (or Keep) Attribute |400 px]] <br> '''Fig 13. Technology Map do Circuito com Attribute Preserve (or Keep) '''</center>
 +
<center> [[Arquivo:Ex4_5_NopruneAttribute.png| Noprune Attribute| 400 px]] <br> '''Fig 14. Technology Map do Circuito com Attribute Noprune '''</center>:: Ver pag. 91 a 111 de <ref name="PEDRONI2010b"/>
 +
 
 +
:* Atributos definidos pelo usuário;
 +
<syntaxhighlight lang=vhdl>
 +
attribute attribute_name: attribute_type;
 +
attribute attribute_name of entity_tag [signature]: entity_class is value;
 +
</syntaxhighlight>
 +
Exemplo:
  
* Implementação de conversor Gray para Binário
+
;Aula 16 (29 mar):
  
* Implementação de incrementador Gray
+
Uso da instrução ALIAS.
:*simulação funcional e temporal dos circuitos
+
::*Exemplo de uso de alias no pacote numeric_std.vhd
:*medição dos tempos de propagação.
+
<syntaxhighlight lang=vhdl>
 +
  function ADD_UNSIGNED (L, R: UNSIGNED; C: STD_LOGIC) return UNSIGNED is
 +
    constant L_LEFT: INTEGER := L'LENGTH-1;
 +
    alias XL: UNSIGNED(L_LEFT downto 0) is L;
 +
    alias XR: UNSIGNED(L_LEFT downto 0) is R;
 +
    variable RESULT: UNSIGNED(L_LEFT downto 0);
 +
    variable CBIT: STD_LOGIC := C;
 +
  begin
 +
    for I in 0 to L_LEFT loop
 +
      RESULT(I) := CBIT xor XL(I) xor XR(I);
 +
      CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I));
 +
    end loop;
 +
    return RESULT;
 +
  end ADD_UNSIGNED;
 +
</syntaxhighlight>
 +
:: '''NOTA''': No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0).  Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
 +
{{collapse bottom}}
 +
 
 +
{{collapse top| Unidade 4 - Código Concorrente}}
  
;Aula  20  (20 set):
+
===Unidade 4 - Código Concorrente===
Aula suspensa - Participação no SEPEI
 
  
;Aula  21  (21 set):
+
* 4 AULAS
 +
;Aula  16 - (1 abr):
 
*Código Concorrente.
 
*Código Concorrente.
 
+
:* Uso de Operadores
* Implementação de circuitos aritméticos com operadores.
+
:* instrução WHEN-ELSE (WHEN)
:* Para o uso dos operadores o mais adequado é utilizar o padrão industrial '''STD_LOGIC_VECTOR'''.  Internamente os valores das portas devem ser convertidos ou para valores '''INTEGER''' ou para '''UNSIGNED'''/'''SIGNED'''.  para tal é necessário utilizar a biblioteca ''numeric_std''.
 
:* Também é fundamental considerar a faixa de valores coberta por <math> N </math> bits.  Para tipos '''UNSIGNED''' a faixa é de <math> 0  </math> até <math>  2^{N}-1 </math>, enquanto que para '''SIGNED''' a faixa é de <math> -2^{N-1} </math> até <math> 2^{N-1}-1 </math>.  Assim com 3 bits é possível representar valores entre -4 até 3 com um tipo SIGNED e 0 até 7 com um tipo UNSIGNED.
 
:* Para uso adequado dos operadores também é necessário considerar o tamanho (número de bits) requerido para o resultado em função do tamanho dos operandos.
 
::*Ver a declaração das funções "+", "-", "*" e "/" no PACKAGE [[Numeric std.vhd]]
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
function "+" (L, R: UNSIGNED) return UNSIGNED;
+
<optional_label>: <target> <=
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
+
<value> when <condition> else
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
+
<value> when <condition> else
function "-" (L, R: UNSIGNED) return UNSIGNED;
+
<value> when <condition> else
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
+
...
  -- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
+
<value>;
function "*" (L, R: UNSIGNED) return UNSIGNED;
 
  -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
 
  -- Result: Performs the multiplication operation on two UNSIGNED vectors
 
  --        that may possibly be of different lengths.
 
function "/" (L, R: UNSIGNED) return UNSIGNED;
 
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
 
  -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
 
  -- NOTE: If second argument is zero for "/" operator, a severity level of ERROR is issued.
 
 
</syntaxhighlight>
 
</syntaxhighlight>
::* Para operações de "+" ou "-": O tamanho do resultado é igual ao tamanho do maior operando.  
+
::*Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
:::Exemplo: r[7..0] = a[7..0] + b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8 bits.
+
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]'''
::* Para a operações "*": O tamanho do resultado é igual a soma do tamanho dos dois operandos.
+
:::Exemplo: r[12..0] = a[7..0] * b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8+5 = 13 bits.
+
:* instrução WITH-SELECT-WHEN (SELECT)
::* Para "/": O tamanho do resultado é igual ao tamanho do numerador.
+
<syntaxhighlight lang=vhdl>
:::Exemplo: r[5..0] = a[5..0] / b[8..0]; a -> 6 bits; b -> 9 bits então r -> 6 bits.
+
<optional_label>: with <expression> select
:* No caso da operações de "*" e "/" não ocorre ''overflow'', no entanto no caso da "+" e "-", o ''overflow/underflow'' pode ocorrer e precisa ser tratado. Isso pode ser feito acrescentando um bit adicional a saída para conter o ''overflow'' ou então sinalizar a sua ocorrência com um bit na saída do circuito. Note que no caso em que ocorrem sucessivas somas, é impraticável ficar aumentando o número de bits para evitar o ''overflow'', de modo que a sinalização do ''overflow'' ou uso de escalas, ou representação em ponto fixo ou ponto flutuante podem ser as soluções a serem adotadas.
+
<target> <=  
:* No caso das operações de "+" e "-" também pode ser necessário tratar os sinais de ''carry in'' e ''carry out'', que permitem ampliar o tamanho de um somador realizando a sua conexão em cascata, ao mesmo tempo que tratam o ''overflow''.
+
<value> when <choices>,
 +
<value> when <choices>,
 +
<value> when <choices>,
 +
...
 +
<value> when others;
 +
</syntaxhighlight>
 +
::*Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES.
 +
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]'''. '''Mas ATENÇÃO, faltam as virgulas após cada escolha'''.
  
*Exemplo 5.7 - Somador/Subtrator Recomendado.
+
:* Exemplo 5.1 + 5.2 mux: com 3 tipos de arquiteturas (com portas, com WHEN-ELSE, com WITH-SELECT)  
:* Implementar o circuito com 4 bits na entrada e 5 bits na saída (com signed e unsigned)
+
::Verifique os três circuitos considerando as entradas x0 a x3 e a saída y com apenas um elemento.
:* Implementar o circuito com 4 bits na entrada e 4 bits na saída, e uma saída de cout para soma e para subtração
+
::Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
:* Implementar um circuito multiplicador com 4 bits na entrada e 7 bits na saída.
+
:::No caso do uso de WHEN-ELSE e WITH-SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
:* em todos os ciruitos acima onde possa ocorrer overflow(underflow), acrescentar um circuito para saturar a saída no máximo(mínimo).
+
:::Qual é a solução para a descrição com portas?
:* em todos os ciruitos acima onde possa ocorrer overflow(underflow), acrescentar um bit de saída que indique quando o resultado está com erro.
+
:* Para selecionar uma entre várias arquiteturas para a mesma ENTITY use a CONFIGURATION.
 
+
<syntaxhighlight lang=vhdl>
:*Ver pag. 139 a 140 de <ref name="PEDRONI2010b"/>
+
CONFIGURATION which_mux OF mux IS
-->
+
  FOR Operator_only END FOR;
{{collapse bottom}}
+
--  FOR with_WHEN END FOR;
 +
--  FOR with_SELECT END FOR;
 +
END CONFIGURATION;
 +
</syntaxhighlight>
 +
::Ver pag. 121 a 127 de <ref name="PEDRONI2010b"/>
  
{{collapse top| Unidade 5 - Código Sequencial}}
 
  
===Unidade 5 - Código Sequencial===
+
;Aula 17  (1 abr):
* 7 AULAS
+
:* PROVA de 30 minutos.
<!--
+
:* Uso da instrução FOR-GENERATE
;Aula 23 (26 set):
+
<syntaxhighlight lang=vhdl>  
*Código Sequencial.
+
label: FOR identificador IN faixa GENERATE
:*Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
+
  [Parte_Declarativa
:*Diferenças entre os objetos SIGNAL e VARIABLE
+
BEGIN]
:*Tipos de elementos de memória: Latch x Flip-flop
+
  Instruções_concorrentes
::* Latch D
+
  ...
::* Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
+
END GENERATE [label];
:*Seção de código sequencial '''PROCESS''': lista de sensibilidade
+
</syntaxhighlight>
 +
 
 +
:*Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
 +
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
+
---------------------
            [parte_declarativa]
+
-- FILE my_pkg.vhd --
        BEGIN
+
---------------------
            afirmação_sequencial;
+
library ieee;
            afirmação_sequencial;
+
use ieee.std_logic_1164.all;
            ...
+
 
        END PROCESS [rótulo];
+
package my_pkg is
 +
type a_slv is array(natural range <>) of std_logic_vector (3 downto 0);
 +
end package;
 
</syntaxhighlight>
 
</syntaxhighlight>
:*Instrução '''IF'''
+
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] IF condição THEN
+
---------------------------
            afirmação_sequencial;
+
-- FILE vector_adder.vhd --
            afirmação_sequencial;
+
---------------------------
            ...
+
library ieee work;
        ELSIF condição THEN
+
use ieee.std_logic_1164.all;
            afirmação_sequencial;
+
use ieee.numeric_std.all;
            afirmação_sequencial;
+
use work.my_pkg.all;
            ...
 
        ELSE
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
        END IF [rótulo];
 
</syntaxhighlight>
 
:*Exemplos:
 
::* DFFs with Reset and Clear (Variação Ex 6.1),
 
:*Ver pag. 161 a 160 de <ref name="PEDRONI2010b"/>
 
  
 +
entity vector_adder is
 +
generic (N : natural := 4);
 +
port (
 +
a   : in a_slv (0 to N-1);
 +
soma : out std_logic_vector (3 downto 0));
 +
end entity;
  
;Aula 24 (27 set):
+
-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.
*Código Sequencial.
+
 
:* Contador Básico 0-N (baseado no Ex.6.2)
+
architecture ifsc_v1 of vector_adder is
:* Registrador de deslocamento (Ex.6.3)
+
signal soma_sig : signed(3 downto 0);
:Exercício: 
+
begin
::*Implementar um conversor de transmissão de dados com entrada paralela e saída serial. 
+
-- soma_sig <= signed(a(0)) + signed(a(1)) 
::* Simular o circuito com 8 bits (ver detalhes no [https://moodle.sj.ifsc.edu.br/mod/forum/discuss.php?d=1219 moodle])
+
-- soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2))  
 +
soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) +  signed(a(3));
 +
soma <= std_logic_vector(soma_sig);
 +
end architecture;
 +
 
 +
-- Versão que realiza a soma usando um FOR GENERATE
 +
architecture ifsc_v2 of vector_adder is
 +
 +
begin
 +
 
 +
 
 +
end architecture;
  
;Aula 25 (3 out):
 
*Código Sequencial
 
:*Instrução '''WAIT''': WAIT UNTIL, WAIT FOR (simulação apenas),  WAIT ON (não implementada no Quartus II).
 
::Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
 
::Algumas instruções de '''WAIT''' serão utilizadas na criação de '''TestBench''' em VHDL para a simulação com o '''MODELSIM'''.
 
:*Instruções do tipo '''LOOP''': LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
 
::*LOOP incondicional:
 
<syntaxhighlight lang=vhdl>
 
[rótulo:] LOOP
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
 
</syntaxhighlight>
 
</syntaxhighlight>
  
::*FOR-LOOP:
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] FOR identificador IN faixa LOOP
+
---------------------------
            afirmação_sequencial;
+
-- FILE vector_adder.vhd --
            afirmação_sequencial;
+
---------------------------
            ...
+
configuration ifsc_cfg of vector_adder is
          END LOOP [rótulo];
+
-- for ifsc_v1 end for;
 +
for ifsc_v2 end for;
 +
end configuration;
 
</syntaxhighlight>
 
</syntaxhighlight>
  
::*WHILE-LOOP:
+
:*Ver pag. 127 a 134 de <ref name="PEDRONI2010b"/>
<syntaxhighlight lang=vhdl>
+
 
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
+
 
            afirmação_sequencial;
+
;Aula  18  (05 abr):
            afirmação_sequencial;
+
::Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
            ...
+
::*Fazer as seguintes alterações do código da ALU:
          END LOOP [rótulo];
+
:::* Inclusão de um sinal que indica "erro" quando ocorre ''overflow''/''underflow'' nas operações de soma, incremento ou decremento.
</syntaxhighlight>
+
:::* Inclusão de um circuito que satura o sinal no máximo positivo ou negativo nas situações de erro.
 +
:::* Teste da ALU usando simulação funcional.
 +
 
 +
;Aula 19 (08 abr):
 +
*Código Concorrente.
 +
:*Exemplo 5.4 - Decodificador genérico de endereços.
  
::*LOOP com EXIT:
 
<syntaxhighlight lang=vhdl>
 
[rótulo:] [FOR identificador IN faixa] LOOP
 
            afirmação_sequencial;
 
            EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
::*LOOP com NEXT:
+
;Aula 20 (10 abr):
 +
* Implementação de conversor Binário para Gray
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] [FOR identificador IN faixa] LOOP
+
entity bin2gray is
            afirmação_sequencial;
 
            NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
 
                                              -- e incrementa o "identificador".
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
:* Exemplos:  
+
generic (N : natural := 4 )
::*Carry-Ripple Adder (FOR-LOOP) (Ex 6.4)
 
::* Leading Zeros (LOOP com EXIT) (Ex 6.5)
 
:*Ver pag. 161 a 164 de <ref name="PEDRONI2010b"/>
 
  
;Aula 26 (04 out):
+
port
Avaliação A1 - UN2, UN3, UN4
+
(
 +
b  : in std_logic_vector(____)
 +
g  : out std_logic_vector(____)
 +
)
  
 +
end entity
  
;Aula 27 (05 out):
+
architecture ifsc of ____ is
*Código Sequencial.
+
begin
:*Instrução '''CASE''' 
+
 
 +
 
 +
end architecture
 +
</syntaxhighlight>
 +
* Implementação de conversor Gray para Binário
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] CASE expressão IS
+
entity gray2bin is
                  WHEN valor => atribuições;                            -- valor único
+
 
                  ...
+
generic (N : natural := 4 )
                  WHEN valor1 | valor2 | ... | valorN => atribuições; -- lista de valores
+
 
                  ...
+
port
                  WHEN valor1 TO valor2    => atribuições;              -- faixa de valores
+
(
                  ...
+
g : in std_logic_vector(____)
 +
b : out std_logic_vector(____)
 +
)
  
          END CASE;
+
end entity
</syntaxhighlight>
 
:* Contador de 0 a 9 segundos com saída SSD (Ex 6.6).
 
::* v1 - Unir o código de um contador de 0 a 9 (código sequencial), e o conversor de binário para sete segmentos (código concorrente)
 
::* v2 - mudar o conversor para SSD de forma a usar código sequencial (CASE).
 
::* v3 - incluir o conversor para SSD de forma a usar código sequencial (CASE)no mesmo processo que tem o contador de 0-9.
 
:* Exercício 6.2: projetar um Contador de 0 a MAX com saída em código Gray.
 
  
:* Projetar um circuito que permita obter um clock com período de 1 segundo, a partir de um sinal de clock com frequência de fclk = 50MHz.
+
architecture ifsc of ____ is
 +
begin
  
  
;Aula 28 (10 out):
+
end architecture
*Código Sequencial.
+
</syntaxhighlight>
:* Implementar no FPGA o circuito com contador de 0 a 9 segundos com saída SSD e clk de entrada de 50MHz.
+
* Implementação de incrementador Gray
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
+
:*simulação funcional e temporal dos circuitos
:::*SOLUÇÃO: modificar o  contador para um valor máximo menor (0 a 50-1).  Notar que a simulação é extremamente rápida neste caso.
+
:*medição dos tempos de propagação.
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit Mercúrio  IV para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]]. Utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
+
:*ver detalhes [[Código Gray]]
:::* Como o conversor de binário para ssd realizado anteriormente tinha lógica negativa ('0' acende, '1' apaga), será necessário inverter todas as saídas
+
 
ssd_out <= not ssd;
+
;Aula 21 - (11 abr):
:::*Se quiser usar um led na matriz de led é necessário colocar '0' da coluna do Led e '1' na linha correspondente, ou seja utilizar um segundo pino para acender o led.
+
*Código Concorrente.
 +
:*Desenvolveram a atividade [https://moodle.sj.ifsc.edu.br/mod/assign/view.php?id=5212 AR2 - Estacionamento com FOR-GENERATE]
  
 +
;Aula 22 (12 abr)
 +
*Código Concorrente.
  
;Aula 29 (11 out):
+
* Implementação de circuitos aritméticos com operadores.
*Projete um contador BCD de 00 a 99 configurável.
+
:* Para o uso dos operadores o mais adequado é utilizar o padrão industrial '''STD_LOGIC_VECTOR'''.  Internamente os valores das portas devem ser convertidos ou para valores '''INTEGER''' ou para '''UNSIGNED'''/'''SIGNED'''.  para tal é necessário utilizar a biblioteca ''numeric_std''.
::Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.  
+
:* Também é fundamental considerar a faixa de valores coberta por <math> N </math> bits.  Para tipos '''UNSIGNED''' a faixa é de <math> 0  </math> até <math>  2^{N}-1 </math>, enquanto que para '''SIGNED''' a faixa é de <math> -2^{N-1} </math> até <math> 2^{N-1}-1 </math>.  Assim com 3 bits é possível representar valores entre -4 até 3 com um tipo SIGNED e 0 até 7 com um tipo UNSIGNED.
 +
:* Para uso adequado dos operadores também é necessário considerar o tamanho (número de bits) requerido para o resultado em função do tamanho dos operandos.
 +
::*Ver a declaração das funções "+", "-", "*" e "/" no PACKAGE [[Numeric std.vhd]]
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity Count00_99 is
+
function "+" (L, R: UNSIGNED) return UNSIGNED;
port (
+
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
clk : in std_logic;
+
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
bcd_U : out std_logic_vector(3 downto 0);
+
function "-" (L, R: UNSIGNED) return UNSIGNED;
bcd_D : out std_logic_vector(3 downto 0)  
+
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
);
+
  -- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
end entity;
+
function "*" (L, R: UNSIGNED) return UNSIGNED;
 +
  -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
 +
  -- Result: Performs the multiplication operation on two UNSIGNED vectors
 +
  --        that may possibly be of different lengths.
 +
function "/" (L, R: UNSIGNED) return UNSIGNED;
 +
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
 +
  -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
 +
  -- NOTE: If second argument is zero for "/" operator, a severity level of ERROR is issued.
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
::* Para operações de "+" ou "-": O tamanho do resultado é igual ao tamanho do maior operando.
 +
:::Exemplo: r[7..0] = a[7..0] + b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8 bits.
 +
::* Para a operações "*": O tamanho do resultado é igual a soma do tamanho dos dois operandos.
 +
:::Exemplo: r[12..0] = a[7..0] * b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8+5 = 13 bits.
 +
::* Para "/": O tamanho do resultado é igual ao tamanho do numerador.
 +
:::Exemplo: r[5..0] = a[5..0] / b[8..0]; a -> 6 bits; b -> 9 bits então r -> 6 bits.
 +
:* No caso da operações de "*" e "/" não ocorre ''overflow'', no entanto no caso da "+" e "-", o ''overflow/underflow'' pode ocorrer e precisa ser tratado. Isso pode ser feito acrescentando um bit adicional a saída para conter o ''overflow'' ou então sinalizar a sua ocorrência com um bit na saída do circuito. Note que no caso em que ocorrem sucessivas somas, é impraticável ficar aumentando o número de bits para evitar o ''overflow'', de modo que a sinalização do ''overflow'' ou uso de escalas, ou representação em ponto fixo ou ponto flutuante podem ser as soluções a serem adotadas.
 +
:* No caso das operações de "+" e "-" também pode ser necessário tratar os sinais de ''carry in'' e ''carry out'', que permitem ampliar o tamanho de um somador realizando a sua conexão em cascata, ao mesmo tempo que tratam o ''overflow''.
  
*Implementação de um relógio contador BCD de segundos.
+
*Exemplo 5.7 - Somador/Subtrator Recomendado.
:*Utilizando o sistema anterior, inclua um contador em BCD de dois dígitos que permita fazer a contagem de 00 a 99, onde o valor final é configurável.
+
:* Implementar o circuito com 4 bits na entrada e 5 bits na saída (com signed e unsigned)
::Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.  
+
:* Implementar o circuito com 4 bits na entrada e 4 bits na saída, e uma saída de cout para soma e para subtração
<syntaxhighlight lang=vhdl>
+
:* Implementar um circuito multiplicador com 4 bits na entrada e 7 bits na saída.
entity Timer00_99seg is
+
:* em todos os circuitos acima onde possa ocorrer overflow(underflow), acrescentar um circuito para saturar a saída no máximo(mínimo).
port (
+
:* em todos os circuitos acima onde possa ocorrer overflow(underflow), acrescentar um bit de saída que indique quando o resultado está com erro.
clk50MHz : in std_logic;
 
clk1seg : out std_logic;
 
SSD_Useg : out std_logic_vector(0 to 6);
 
SSD_Dseg : out std_logic_vector(0 to 6)  
 
);
 
end entity;
 
</syntaxhighlight>
 
::configure o FPGA do kit Mercúrio  IV para implementar este circuito.  Utilize os mostradores ssd DISP0_D e DISP1_D.
 
  
*Uso do Modelsim para simulação funcional.
+
:*Ver pag. 139 a 140 de <ref name="PEDRONI2010b"/>
:*Ver [[Seguindo o tutorial da ALTERA para o MODELSIM]], para utilizar o básico do MODELSIM.
 
:* [http://www.vhdl.us/Book_VHDL_2ed_unrestricted/Pedroni_VHDL_2ed_tutorial_ModelSim_10.1d_v2.pdf Pedroni VHDL 2ed Tutorial of ModelSim 10.1d]
 
:* [https://www.youtube.com/watch?v=Z8whdGa7RtY ModelSim Video Tutorial] - Kirk Weedman
 
:*[[Media:modelsim_tut.pdf |ModelSim® Tutorial]] -v10.0d
 
:* [[Documentação do ModelSim]], para conhecer mais a fundo o MODELSIM.
 
  
;Aula 30 (17 Out):
 
*Projeto e simulação com Modelsim do sistema Timer00-99 com display de 7 segmentos e divisor de clock.
 
*Na simulação definir o clk50MHz como 100ms para  produzir um clk1sec com duração de 1 segundo.
 
*Corrigir o primeiro período de clock de clk1sec. É necessário fazer com que o sinal seja alto primeiro e depois vá para baixo de modo a garantir que a transição positiva ocorra depois de 1 segundo.
 
*'''IMPORTANTE''': Também é necessário incluir um RESET em cada circuito que seja sequencial. Também é necessário iniciar o circuito com um reset de 10 ps na simulação.
 
*Perceber a necessidade de parametrizar o conversor de bcd2ssd para permitir displays do tipo CA e AA. (ler [[Display de 7 segmentos]])
 
*Perceber a necessidade de incluir um sinal que indique o final da contagem no counter00_99.  Esse sinal poderá ser usado como clock no relógio a ser projetado.
 
-->
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| Unidade 6 - Projeto a nível de Sistema}}
+
{{collapse top| Unidade 5 - Código Sequencial}}
  
===Unidade 6 - Projeto a nível de Sistema===
+
===Unidade 5 - Código Sequencial===
* 7 AULAS  
+
* 7 AULAS
<!--
 
[[Arquivo:rtl_timer99sec.png | 800 px]]
 
  
Os alunos deverão se organizar em equipes de no máximo 2 alunos,
+
;Aula 23 (15 abr):
Realizar um circuito de relógio de 24 horas com saída em display de 7 segmentos, conforme especificado no Moodle.  
+
*Código Sequencial.
O circuito deverá ser apresentado funcional, mostrado com simulação no Modelsim e implementado no FPGA DE2-115.
+
:*Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
Este projeto será feito extra-classe, e haverá bonus para a próxima avaliação para as equipes que:
+
:*Diferenças entre os objetos SIGNAL e VARIABLE
1 - apresentar o primeiro circuito OK.
+
:*Tipos de elementos de memória: Latch x Flip-flop
2 - apresentar o circuito com o menor número de elementos lógicos (se diferente do primeiro).
+
::* Latch D
3 - apresentar o circuito com maior frequencia máxima (se diferente dos anteriores).
+
::* Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
Independente do bonus todas equipes deverão entregar o circuito na atividade indicada no Moodle.
+
:*Seção de código sequencial '''PROCESS''': lista de sensibilidade
 
 
; Aula 31 (19 out):
 
*Projeto a nível de Sistema.
 
:* O '''PACKAGE''' e '''PACKAGE BODY''': onde declarar e como usar.
 
:* O '''COMPONENT''': declaração (cópia da '''ENTITY''') e instanciação.
 
Assim a entity Timer00_99
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
ENTITY timer00_99seg
+
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
GENERIC        (D : INTEGER;
+
            [parte_declarativa]
fclock : INTEGER;
+
        BEGIN
U : INTEGER);
+
            afirmação_sequencial;
PORT            (clk50MHz : IN STD_LOGIC;
+
            afirmação_sequencial;
RST : IN STD_LOGIC;
+
            ...
clk1seg : OUT STD_LOGIC;
+
        END PROCESS [rótulo];
SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
 
SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
 
END ENTITY;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
Será declarada como um COMPONENT
+
:*Instrução '''IF'''
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
COMPONENT timer00_99seg
+
[rótulo:] IF condição THEN
GENERIC        (D : INTEGER;
+
            afirmação_sequencial;
fclock : INTEGER;
+
            afirmação_sequencial;
U : INTEGER);
+
            ...
PORT            (clk50MHz : IN STD_LOGIC;
+
        ELSIF condição THEN
RST : IN STD_LOGIC;
+
            afirmação_sequencial;
clk1seg : OUT STD_LOGIC;
+
            afirmação_sequencial;
SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
+
            ...
SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
+
        ELSE
END COMPONENT;
+
            afirmação_sequencial;
</syntaxhighlight>
+
            afirmação_sequencial;
::* Associação dos nomes das portas aos sinais. PORT -> '''PORT MAP''':
+
            ...
::* Mapeamento por posição e nominal.
+
        END IF [rótulo];
<syntaxhighlight lang=vhdl>
 
comp1 : timer00_99seg
 
GENERIC MAP    (2, 10, 3)
 
PORT MAP      (clk50MHz,RST, clk1seg, SSD_Dseg, SSD_Useg);
 
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
:*Exemplos:
 +
::* DFFs com Reset Assincrono e Reset Sincrono, com Enable, com Preset  (Variação Ex 6.1).
 +
::* Comparar com Latch (sem clk'event).
 +
::* Simulação funcional do DFFs e do Latch
 +
:*Ver pag. 161 a 160 de <ref name="PEDRONI2010b"/>
 +
 +
 +
;Aula 24 e 25  (22 e 25 abr):
 +
*Código Sequencial.
 +
:* Contador Básico 0-N (baseado no Ex.6.2)
 +
:* Registrador de deslocamento (Ex.6.3)
 +
;Aula 26  (26 abr):
 +
*Uso do Modelsim para simulação funcional.
 +
:*Use o Modelsim para fazer a simulação dos circuitos sequenciais das aulas anteriores.
 +
::* Contador Básico 0-N (baseado no Ex.6.2)
 +
::* Registrador de deslocamento (Ex.6.3)
  
<syntaxhighlight lang=vhdl>
+
:*Ver [[Seguindo o tutorial da ALTERA para o MODELSIM]], para utilizar o básico do MODELSIM.
comp1 : timer00_99seg
+
:* [http://www.vhdl.us/Book_VHDL_2ed_unrestricted/Pedroni_VHDL_2ed_tutorial_ModelSim_10.1d_v2.pdf Pedroni VHDL 2ed Tutorial of ModelSim 10.1d]
GENERIC MAP    ( D => 2, U => 3,
+
:* [https://www.youtube.com/watch?v=Z8whdGa7RtY ModelSim Video Tutorial] - Kirk Weedman
                fclock => 10,
+
:*[[Media:modelsim_tut.pdf |ModelSim® Tutorial]] -v10.0d
PORT MAP      ( clk50MHz => clk50MHz,
+
:* [[Documentação do ModelSim]], para conhecer mais a fundo o MODELSIM.
RST => RST,
 
clk1seg => clk1seg,
 
SSD_Dseg => SSD_Dseg,
 
SSD_Useg => SSD_Useg);
 
</syntaxhighlight>
 
::* Métodos de declaração de '''COMPONENT'''.
 
:::*Exemplo: Registrador Circular Ex. 8.2
 
:* Criação de '''COMPONENT''' redimensionáveis. GENERIC -> '''GENERIC MAP'''
 
::*Exemplo: Porta E com N entradas.
 
::*Exemplo: Detector de Paridade Ex. 8.3
 
:* Ver pag. 201 a 213 de <ref name="PEDRONI2010b"/>
 
  
 +
;Aula 27 (29 abr)
 +
Avaliação A1 - UN2, UN3, UN4
  
; Aula 32-33 (24-25 out):
 
:* Instanciação de '''COMPONENT''' com '''GENERATE'''.
 
  
:* Uso da instrução '''CONFIGURATION'''.
+
;Aula 28 (03 mai)
::* Ligação direta: ARCHITECTURE-ENTITY.
 
::* Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
 
 
 
* Implementação de um timer de 99 segundos usando componentes.
 
  
 +
*Código Sequencial
 +
:*Instrução '''WAIT''': WAIT UNTIL, WAIT FOR (simulação apenas),  WAIT ON (não implementada no Quartus II).
 +
::Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
 +
::Algumas instruções de '''WAIT''' serão utilizadas na criação de '''TestBench''' em VHDL para a simulação com o '''MODELSIM'''.
 +
:*Instruções do tipo '''LOOP''': LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
 +
::*LOOP incondicional:
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity timer00_99seg IS
+
[rótulo:] LOOP
generic (fclk2 : natural := 50, D : natural := 5; U : natural := 9);
+
            afirmação_sequencial;
port
+
            afirmação_sequencial;
(
+
            ...
clk50MHz : in  STD_LOGIC;
+
          END LOOP [rótulo];
clk_1seg: out STD_LOGIC;
 
ssd_D :  out  STD_LOGIC_VECTOR(0 TO 6);
 
ssd_U :  out  STD_LOGIC_VECTOR(0 TO 6)
 
);
 
end entity;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
;OBS: 
 
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
 
*Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
 
  
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo parâmetro '''fclk2'''
+
::*FOR-LOOP:
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
component div_clk is
+
[rótulo:] FOR identificador IN faixa LOOP
generic (fclk2 : natural := 50);      -- frequecia para simulacao
+
            afirmação_sequencial;
port (
+
            afirmação_sequencial;
clk : in std_logic;
+
            ...
clk_out : out std_logic
+
          END LOOP [rótulo];
);
+
</syntaxhighlight>
end component;
 
;OBS: 
 
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
 
  
</syntaxhighlight>
+
::*WHILE-LOOP:
: Componente 2 - Contador de 00 a 99 com saída em BCD, com o valor final configurável pelos parâmetros '''D e U'''
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
component count00_99 is
+
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
generic (D : natural := 9; U : natural := 9);
+
            afirmação_sequencial;
port (
+
            afirmação_sequencial;
clk : in std_logic;
+
            ...
clk_out : out std_logic;
+
          END LOOP [rótulo];
bcd_U : out std_logic_vector(3 downto 0);
 
bcd_D : out std_logic_vector(3 downto 0)
 
);
 
end component;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
;OBS:
+
 
*Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
+
::*LOOP com EXIT:
 
: Componente 3 - Conversor de BIN para SSD, com um parâmetro configurável '''ac_ccn''' para selecionar Anodo ou Catodo Comum.
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
component bin2ssd is
+
[rótulo:] [FOR identificador IN faixa] LOOP
  generic (ac_ccn : natural := 0);
+
            afirmação_sequencial;
  port (
+
            EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
    bin_in : in std_logic_vector(3 downto 0);
+
            afirmação_sequencial;
    ssd_out : out std_logic_vector(0 to 6)
+
            ...
  );
+
          END LOOP [rótulo];
end component;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
;OBS: 
 
*O valor ac_ccn é utilizado para configurar o circuito entre ativo alto para display de catodo comum (ac_ccn=0), ou ativo baixo para display de anodo comum (ac_ccn=1).
 
  
 +
::*LOOP com NEXT:
 +
<syntaxhighlight lang=vhdl>
 +
[rótulo:] [FOR identificador IN faixa] LOOP
 +
            afirmação_sequencial;
 +
            NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
 +
                                              -- e incrementa o "identificador".
 +
            afirmação_sequencial;
 +
            ...
 +
          END LOOP [rótulo];
 +
</syntaxhighlight>
  
O timer deve utilizar os componentes acima de modo a resultar em um RTL semelhante ao mostrado abaixo.
+
:* Exemplos:
<center>
+
::* Carry-Ripple Adder (FOR-LOOP) (Ex 6.4)
[[Arquivo:rtl_timer99sec.png | 600 px]]
+
::* Leading Zeros (LOOP com EXIT) (Ex 6.5)
</center>
+
::* Hamming weight com (LOOP com NEXT).
* Simulação do timer com ModelSim. Na simulação definir o clk50MHz com duração de 10 ms. Faça uma simulação de pelo menos 60 segundos.
+
:*Ver pag. 161 a 164 de <ref name="PEDRONI2010b"/>
  
<center>
+
;Aula 29 (06 mai):
[[Arquivo:sim_timer99sec.png | 800 px]]
+
*Código Sequencial.
</center>
+
:*Instrução '''CASE''' 
OBS: É recomendável inserir um sinal de RESET em todos os circuitos sequenciais e ao iniciar a simulação do circuito começar com RESET ativo  durante 10 ps.
+
<syntaxhighlight lang=vhdl>
 +
[rótulo:] CASE expressão IS
 +
                  WHEN valor => atribuições;                            -- valor único
 +
                  ...
 +
                  WHEN valor1 | valor2 | ... | valorN  => atribuições;  -- lista de valores
 +
                  ...
 +
                  WHEN valor1 TO valor2    => atribuições;              -- faixa de valores
 +
                  ...
  
*Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito.  Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].
+
          END CASE;
:* Ao utilizar o kit DE2-115 da TERASIC, utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0-5[0-6]: PIN_G18 - PIN_H22 ...).
+
</syntaxhighlight>
{{collapse top| definição dos pinos}}
+
:* Contador de 0 a 9 segundos com saída SSD (Ex 6.6).
 +
::* v1 - Unir o código de um contador de 0 a 9 (código sequencial), e o conversor de binário para sete segmentos (código concorrente)
 +
::* v2 - mudar o conversor para SSD de forma a usar código sequencial (CASE).
 +
::* v3 - incluir o conversor para SSD de forma a usar código sequencial (CASE)no mesmo processo que tem o contador de 0-9.
 +
:* Projetar um circuito que permita obter um clock com período de 1 segundo, a partir de um sinal de clock com frequência de fclk = 50MHz.
 +
:* Atividade para casa.  
 
<code>
 
<code>
 +
Informamos que o período de avaliação do docente pelo aluno via SAD do primeiro semestre de 2019 inicia em 8 de abril e finaliza no dia 10 de maio.
 +
 +
O link de acesso do SAD é https://avaliacao.ifsc.edu.br/ 
 +
 +
Os alunos devem utilizar a MATRÍCULA para usuário e a DATA DE NASCIMENTO para a senha. Lembrar aos alunos que esses dados não ficam registrados, ou seja, as respostas são sigilosas.
 
</syntaxhighlight>
 
</syntaxhighlight>
{{collapse bottom}}
 
:*Ao utilizar o kit Mercúrio IV da MACNICA, utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
 
{{collapse top| definição dos pinos}}
 
<code>
 
set_location_assignment PIN_T1 -to clk50MHz
 
set_location_assignment PIN_V21 -to rst_in
 
set_location_assignment PIN_R5 -to ssd_D[0]
 
set_location_assignment PIN_T5 -to ssd_D[1]
 
set_location_assignment PIN_T3 -to ssd_D[2]
 
set_location_assignment PIN_T4 -to ssd_D[3]
 
set_location_assignment PIN_M6 -to ssd_D[4]
 
set_location_assignment PIN_N7 -to ssd_D[5]
 
set_location_assignment PIN_N6 -to ssd_D[6]
 
set_location_assignment PIN_V2 -to ssd_U[0]
 
set_location_assignment PIN_V1 -to ssd_U[1]
 
set_location_assignment PIN_U2 -to ssd_U[2]
 
set_location_assignment PIN_U1 -to ssd_U[3]
 
set_location_assignment PIN_Y2 -to ssd_U[4]
 
set_location_assignment PIN_Y1 -to ssd_U[5]
 
set_location_assignment PIN_W2 -to ssd_U[6]
 
set_location_assignment PIN_W1 -to clk_1seg
 
</syntaxhighlight>
 
{{collapse bottom}}
 
:* Analise o diagrama esquemático como funcionam as chaves e também o tipo de display. Note que no projeot o signal RST foi descrito como normalmente ALTO, podendo ser necessário acrescentar um inversor para ter o funcionamento correto. O [[Display de 7 segmentos]] da DE2-115 é do tipo catodo comum, enquanto que na MERCURIO IV ele é do tipo anado comum.
 
  
; Aula 36 (31 Out):
+
<!--
*Projeto a nível de Sistema.
+
:Exercício:
FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
+
::*Implementar um conversor de transmissão de dados com entrada paralela e saída serial.
 +
::*Simular o circuito com 8 bits
 +
;Aula 27 (05 out):
  
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
 
  
<syntaxhighlight lang=vhdl>
+
;Aula 28 (10 out):
[rótulo:] assert condição_booleana
+
*Código Sequencial.
[report mensagem]
+
:* Implementar no FPGA o circuito com contador de 0 a 9 segundos com saída SSD e clk de entrada de 50MHz.
[severity nivel_severidade];
+
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
</syntaxhighlight>
+
:::*SOLUÇÃO: modificar o  contador para um valor máximo menor (0 a 50-1).  Notar que a simulação é extremamente rápida neste caso.
 +
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit Mercúrio  IV para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
 +
:::* Como o conversor de binário para ssd realizado anteriormente tinha lógica negativa ('0' acende, '1' apaga), será necessário inverter todas as saídas
 +
ssd_out <= not ssd;
 +
:::*Se quiser usar um led na matriz de led é necessário colocar '0' da coluna do Led e '1' na linha correspondente, ou seja utilizar um segundo pino para acender o led.
  
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
 
 
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou  FAILURE. ERROR é o valor "default" [https://www.ics.uci.edu/~jmoorkan/vhdlref/assert.html].
 
 
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE. 
 
  
 +
;Aula 29 (11 out):
 +
*Projete um contador BCD de 00 a 99 configurável.
 +
::Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
function nome_funçao (lista_parametros_entrada) return tipo_saida is
+
entity Count00_99 is
  declarações
+
port (
begin
+
clk : in std_logic;
  afirmações sequenciais
+
bcd_U : out std_logic_vector(3 downto 0);
end function;
+
bcd_D : out std_logic_vector(3 downto 0)
 +
);
 +
end entity;
 +
</syntaxhighlight>
 +
 
 +
*Implementação de um relógio contador BCD de segundos.
 +
:*Utilizando o sistema anterior, inclua um contador em BCD de dois dígitos que permita fazer a contagem de 00 a 99, onde o valor final é configurável.
 +
::Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.
 +
<syntaxhighlight lang=vhdl>
 +
entity Timer00_99seg is
 +
port (
 +
clk50MHz : in std_logic;
 +
clk1seg : out std_logic;
 +
SSD_Useg : out std_logic_vector(0 to 6);
 +
SSD_Dseg : out std_logic_vector(0 to 6)
 +
);
 +
end entity;
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
::configure o FPGA do kit Mercúrio  IV para implementar este circuito.  Utilize os mostradores ssd DISP0_D e DISP1_D.
  
:* Uso de '''FUNCTION''' e '''ASSERT'''. 
 
::* Exemplo: Declaração em ARCHITECTURE Ex.9.1
 
::* Exemplo: Declaração em PACKAGE Ex. 9.2
 
::* Exemplo: Declaração em ENTITY Ex. 9.3
 
  
Abaixo segue um exemplo de cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
 
  
<syntaxhighlight lang=vhdl>
+
;Aula 30 (17 Out):
function log2c (n : integer) return integer is
+
*Projeto e simulação com Modelsim do sistema Timer00-99 com display de 7 segmentos e divisor de clock.
variable m , p : integer;
+
*Na simulação definir o clk50MHz como 100ms para  produzir um clk1sec com duração de 1 segundo.
begin
+
*Corrigir o primeiro período de clock de clk1sec. É necessário fazer com que o sinal seja alto primeiro e depois vá para baixo de modo a garantir que a transição positiva ocorra depois de 1 segundo.
m := 0;
+
*'''IMPORTANTE''': Também é necessário incluir um RESET em cada circuito que seja sequencial. Também é necessário iniciar o circuito com um reset de 10 ps na simulação.
p : = 1;
+
*Perceber a necessidade de parametrizar o conversor de bcd2ssd para permitir displays do tipo CA e AA. (ler [[Display de 7 segmentos]])
while p < n loop
+
*Perceber a necessidade de incluir um sinal que indique o final da contagem no counter00_99.  Esse sinal poderá ser usado como clock no relógio a ser projetado.
m : = m + 1;
 
p := p * 2;
 
end loop;
 
return m;
 
end log2c;
 
</syntaxhighlight>
 
 
-->
 
-->
 +
{{collapse bottom}}
 +
 +
{{collapse top| Unidade 6 - Projeto a nível de Sistema}}
 +
 
<!--
 
<!--
; Aula 37 (19 mai):
+
[[Arquivo:rtl_timer99sec.png | 800 px]]
:*Uso de '''PROCEDURE'''.
 
  
<syntaxhighlight lang=vhdl>
+
Os alunos deverão se organizar em equipes de no máximo 2 alunos,
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
+
Realizar um circuito de relógio de 24 horas com saída em display de 7 segmentos, conforme especificado no Moodle.
  declarações
+
O circuito deverá ser apresentado funcional, mostrado com simulação no Modelsim e implementado no FPGA DE2-115.
begin
+
Este projeto será feito extra-classe, e haverá bonus para a próxima avaliação para as equipes que:
  afirmações sequenciais
+
1 - apresentar o primeiro circuito OK.
end procedure;
+
2 - apresentar o circuito com o menor número de elementos lógicos (se diferente do primeiro).
</syntaxhighlight>
+
3 - apresentar o circuito com maior frequencia máxima (se diferente dos anteriores).
 +
Independente do bonus todas equipes deverão entregar o circuito na atividade indicada no Moodle.
 +
-->
  
::*Exemplo: min_max Ex.9.4
+
===Unidade 6 - Projeto a nível de Sistema===
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
+
* 7 AULAS
:* Ver pag. 213 a 239 de <ref name="PEDRONI2010b"/>)
+
; Aula 31 (10 mai):
 +
*Projeto a nível de Sistema.
 +
:* O '''COMPONENT''': declaração (cópia da '''ENTITY''') e instanciação.  
 +
:* Remodelar o projeto do contador 0 a 9 com saída SSD para usar um componente para o contador e outro para o conversor de BCD para SSD.
  
; Aula 33 e 34 (23 e 26 mai):
+
:* O '''PACKAGE''' e '''PACKAGE BODY''': onde declarar e como usar.
:*Uso de '''FUNCTION''' e '''PROCEDURE'''.
+
Assim a entity Timer00_99
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
+
<syntaxhighlight lang=vhdl>
 
+
ENTITY timer00_99seg
;Aula 36 a 38 (4 a 8 jun):
+
GENERIC        (D : INTEGER;
* Implementação de um serializador e um deserializador usando componentes.
+
fclock : INTEGER;
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
+
U : INTEGER);
entity div_clk is
+
PORT            (clk50MHz : IN STD_LOGIC;
entrada clk_in
+
RST : IN STD_LOGIC;
saída clk_out
+
clk1seg : OUT STD_LOGIC;
: Componente 2 - Registrador de deslocamento com entrada serial e paralelo e saída serial e paralelo com N FF, configurável pelo '''generic N'''
+
SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
entity shift_reg is
+
SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
entradas clk_in, rst_in, ena_in, d_in[N-1..0], s_in
+
END ENTITY;
saídas d_out[N-1..0], s_out
+
</syntaxhighlight>
+
Será declarada como um COMPONENT
: Componente 3 - Porta paralela com N entradas, configurável pelo '''generic N'''.
+
<syntaxhighlight lang=vhdl>
entity port_par is
+
COMPONENT timer00_99seg
entradas clk_in, rst, ena, d_in[N-1..0]
+
GENERIC        (D : INTEGER;
saídas d_out[N-1..0]
+
fclock : INTEGER;
 +
U : INTEGER);
 +
PORT            (clk50MHz : IN STD_LOGIC;
 +
RST : IN STD_LOGIC;
 +
clk1seg : OUT STD_LOGIC;
 +
SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
 +
SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
 +
END COMPONENT;
 +
</syntaxhighlight>
 +
::* Associação dos nomes das portas aos sinais. PORT -> '''PORT MAP''':
 +
::* Mapeamento por posição e nominal.
 +
<syntaxhighlight lang=vhdl>
 +
comp1 : timer00_99seg
 +
GENERIC MAP    (2, 10, 3)
 +
PORT MAP      (clk50MHz,RST, clk1seg, SSD_Dseg, SSD_Useg);
 +
</syntaxhighlight>
  
* Simulação do serializador e  deserializador com ModelSim.
 
* Integração dos dois componentes em um único componente.
 
 
;Aula 27 (7 mai):
 
* Implementar um circuito de relógio que conte HH:MM:SS.
 
 
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
 
:::*SOLUÇÃO: modificar o  contador para um valor maximo menor (0 a 5-1), e a  mesmo tempo mudar o período de clock para 200 ms => 5 Hz.  Notar que a simulação é extremamente rápida neste caso.
 
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit DE2-115 para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0[0-6]: PIN_G18 - PIN_H22). Note que a chave usada para o rst é normalmente alta, e portanto é necessário acrescentar um inversor a esta entrada.
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- Renomeie a porta rst para rst_in.
+
comp1 : timer00_99seg
PORT (
+
GENERIC MAP    ( D => 2, U => 3,
clk, rst_in : IN BIT;
+
                fclock => 10,
 +
PORT MAP      ( clk50MHz => clk50MHz,
 +
RST => RST,
 +
clk1seg => clk1seg,
 +
SSD_Dseg => SSD_Dseg,
 +
SSD_Useg => SSD_Useg);
 +
</syntaxhighlight>
 +
::* Métodos de declaração de '''COMPONENT'''.
 +
:::*Exemplo: Registrador Circular Ex. 8.2
 +
:* Criação de '''COMPONENT''' redimensionáveis.  GENERIC -> '''GENERIC MAP'''
 +
::*Exemplo: Porta E com N entradas.
 +
::*Exemplo: Detector de Paridade Ex. 8.3
 +
:* Ver pag. 201 a 213 de <ref name="PEDRONI2010b"/>
  
--Crie um sinal interno para inverter o clock
+
; Aula 32 e 33 (13 e 16 mai):
ARCHITECTURE counter OF slow_counter IS
+
*Implementar o timer00a99 em projeto hierarquico
signal rst : BIT;
+
<center>
BEGIN
+
[[Arquivo:timer00a99RTL.png]]
rst <= not rst_in;
+
</center>
</syntaxhighlight>
+
*Fazer a simulação de 110 segundos usando o MODELSIM.
:::*Programe o FPGA usando o programador e verifique se a contagem está correta (a cada segundo).
+
:*Use um clock compativel com o divisor utilizado no div_clock
 +
<center>
 +
[[Arquivo:timer00a99SIM.png]]
 +
</center>
 +
*Testar o timer00a99 no kit DE2-115
 +
:* Usar uma chave PUSH-BOTTON como reset.
 +
:* Usar o clk de 50 MHz da placa
 +
:* Usar dois displays SSD para mostrar a contagem de 00 a 99 segundos
 +
:* Usar um led para mostrar o segundo e outro para mostrar a dezena de segundos.
 +
*Verificar se o circuito funciona como esperado.
 +
:*Anote os problemas ocorridos e as soluções adotadas.
  
;Aula 29,30 (  ):
 
*Código Sequencial.
 
* Projeto de relógio HH:MM:SS com conversor para 7 segmentos. Implementar um relógio usando um COMPONENT contador BCD de 00 a 99.  Instancie 3 COMPONENTES para implementar a hora, o minuto e o segundo.  O relógio deve contar de 00:00:00 até 23:59:59. Os mostradores de 7 segmentos devem ser implementados com um COMPONENT "bin2ssd" que converte o número 0 a 9 em bits do código SSD.
 
:* Simular o relógio HH:MM:SS com Modelsim, duração de 49 horas.
 
:* Implementar o relógio no kit DE2-115 (opcional) - neste caso é necessário incluir um divisor de clock antes do contador de segundo.
 
  
::*Exercício 28.1: Inserir as duas soluções de ARCHITECTURE do exercício EX 6.10 (Timer de dois digitos) em uma única ENTITY. Utilizar CONFIGURATION para fazer a ligação com a arquitetura desejada.
 
  
<syntaxhighlight lang=vhdl>
 
entity counter60seg is
 
  generic (fclk: integer := 2); -- valor usado para simulação.
 
--  generic (fclk: integer := 50_000_000); -- valor usado para implementação com clk de 50 MHz.
 
  port (
 
    clk, rst : in std_logic;
 
    ssd_un, ssd_dz: out std_logic_vector(6 downto 0));
 
end entity ;
 
  
architecture version1 of counter60seg is
+
<!--
-- Versão proposta por Gabriel Cantu (processo único)
 
  ...
 
begin
 
  ...
 
end architecture ;
 
  
architecture version2 of counter60seg is
+
; Aula 32-33 (24-25 out):
-- Versão proposta por Gustavo Constante (5 processos)
+
:* Instanciação de '''COMPONENT''' com '''GENERATE'''.
  ...
 
begin
 
  ...
 
end architecture ;
 
  
configuration counter60seg_cfg of counter60seg is
+
:* Uso da instrução '''CONFIGURATION'''.
for version1 end for;
+
::* Ligação direta: ARCHITECTURE-ENTITY.
-- for version2 end for;
+
::* Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
end configuration;
 
</syntaxhighlight>
 
-->
 
{{collapse bottom}}
 
  
{{collapse top| Unidade 7 - Maquinas de Estado Finitas}}
+
* Implementação de um timer de 99 segundos usando componentes.
  
===Unidade 7 - Maquinas de Estado Finitas===
 
* 2 AULAS
 
<!--
 
; Aula 37 (7 nov):
 
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
 
:* O que é uma FSM - Finite State Machine
 
:* Modelo de FSM
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
LIBRARY ieee;
+
entity timer00_99seg IS  
USE ieee.std_logic_1164.ALL;
+
generic (fclk2 : natural := 50, D : natural := 5; U : natural := 9);
----------------------------------------------------------
+
port
ENTITY < entity_name > IS
+
(
PORT (
+
clk50MHz : in  STD_LOGIC;
clk, rst : IN STD_LOGIC;
+
clk_1seg: out STD_LOGIC;
input : IN < data_type > ;
+
ssd_D :  out  STD_LOGIC_VECTOR(0 TO 6);
output : OUT < data_type >);
+
ssd_U : out  STD_LOGIC_VECTOR(0 TO 6)
END < entity_name > ;
+
);
----------------------------------------------------------
+
end entity;
ARCHITECTURE < architecture_name > OF < entity_name > IS
+
</syntaxhighlight>
TYPE state IS (A, B, C, ...);
+
;OBS:
SIGNAL pr_state, nx_state : state;
+
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
+
*Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
+
 
BEGIN
+
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo parâmetro '''fclk2'''
------Logica Sequencial da FSM:------------
+
<syntaxhighlight lang=vhdl>
PROCESS (clk, rst)
+
component div_clk is
BEGIN
+
generic (fclk2 : natural := 50);       -- frequecia para simulacao
IF (rst = '1') THEN
+
port (
pr_state <= A;
+
clk : in std_logic;
ELSIF (clk'EVENT AND clk = '1') THEN
+
clk_out : out std_logic
pr_state <= nx_state;
+
);
END IF;
+
end component;
END PROCESS;
+
;OBS: 
------Logica Combinacional da FSM:------------
+
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
PROCESS (pr_state, input)
+
 
BEGIN
+
</syntaxhighlight>
CASE pr_state IS
+
: Componente 2 - Contador de 00 a 99 com saída em BCD, com o valor final configurável pelos parâmetros '''D e U'''
WHEN A =>
+
<syntaxhighlight lang=vhdl>
output <= < value > ;
+
component count00_99 is
IF (input =< value >) THEN
+
generic (D : natural := 9; U : natural := 9);
nx_state <= B;
+
port (
...
+
clk : in std_logic;
ELSE
+
clk_out : out std_logic;
nx_state <= A;
+
bcd_U : out std_logic_vector(3 downto 0);
END IF;
+
bcd_D : out std_logic_vector(3 downto 0)
WHEN B =>
+
);
output <= < value > ;
+
end component;
IF (input =< value >) THEN
 
nx_state <= C;
 
...
 
ELSE
 
nx_state <= B;
 
END IF;
 
WHEN ...
 
END CASE;
 
END PROCESS;
 
------Seção de Saída (opcional):-------
 
PROCESS (clk, rst)
 
BEGIN
 
IF (rst = '1') THEN
 
new_output <= < value > ;
 
ELSIF (clk'EVENT AND clk = '1') THEN --or clk='0'
 
new_output <= output;
 
END IF;
 
END PROCESS;
 
END < architecture_name > ;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
:* Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
+
;OBS:
:* Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados
+
*Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
:rst -> A -(x=0)-> A -(x=1)-> A -(x=2)-> B -(x=2)-> B -(x=0)-> C -(x=0)-> C -(x=2)-> C -(x=1)-> A -(x=2)-> B -(x=1)-> A.
+
:: Ver pag. 277 a 35 de <ref name="PEDRONI2010b"/>
+
: Componente 3 - Conversor de BIN para SSD, com um parâmetro configurável '''ac_ccn''' para selecionar Anodo ou Catodo Comum.
 +
<syntaxhighlight lang=vhdl>
 +
component bin2ssd is
 +
  generic (ac_ccn : natural := 0);
 +
  port (
 +
    bin_in : in std_logic_vector(3 downto 0);
 +
    ssd_out : out std_logic_vector(0 to 6)
 +
  );
 +
end component;
 +
</syntaxhighlight>
 +
;OBS: 
 +
*O valor ac_ccn é utilizado para configurar o circuito entre ativo alto para display de catodo comum (ac_ccn=0), ou ativo baixo para display de anodo comum (ac_ccn=1).
  
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
 
:* Exemplo 11.1 Controlador de uma máquina de Venda
 
::*Compile o código mostrado no Exemplo 11.1.
 
::*Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.
 
  
:::[[Arquivo:FSM_tb40.png | 1000 px]]
+
O timer deve utilizar os componentes acima de modo a resultar em um RTL semelhante ao mostrado abaixo.
 +
<center>
 +
[[Arquivo:rtl_timer99sec.png | 600 px]]
 +
</center>
 +
* Simulação do timer com ModelSim. Na simulação definir o clk50MHz com duração de 10 ms. Faça uma simulação de pelo menos 60 segundos.
  
:* Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
+
<center>
-->
+
[[Arquivo:sim_timer99sec.png | 800 px]]
{{collapse bottom}}
+
</center>
 +
OBS: É recomendável inserir um sinal de RESET em todos os circuitos sequenciais e ao iniciar a simulação do circuito começar com RESET ativo  durante 10 ps.
  
{{collapse top| Unidade 8 - Testbench}}
+
*Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito.  Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]]. 
 
+
:* Ao utilizar o kit DE2-115 da TERASIC, utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0-5[0-6]: PIN_G18 - PIN_H22 ...).
===Unidade 8 - Testbench===
+
{{collapse top| definição dos pinos}}
* 2 AULAS
+
<code>
<!--
+
</syntaxhighlight>
; Aula 42 (28 nov):
+
{{collapse bottom}}
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
+
:*Ao utilizar o kit Mercúrio IV da MACNICA, utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
:*Tipos de simulação:
+
{{collapse top| definição dos pinos}}
::1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
+
<code>
::2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
+
set_location_assignment PIN_T1 -to clk50MHz
::3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída  - OK já visto
+
set_location_assignment PIN_V21 -to rst_in
::4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
+
set_location_assignment PIN_R5 -to ssd_D[0]
::5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
+
set_location_assignment PIN_T5 -to ssd_D[1]
::6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
+
set_location_assignment PIN_T3 -to ssd_D[2]
::7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
+
set_location_assignment PIN_T4 -to ssd_D[3]
::8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
+
set_location_assignment PIN_M6 -to ssd_D[4]
:* Simular a maquina de venda de doces Ex 11.1
+
set_location_assignment PIN_N7 -to ssd_D[5]
<!--
+
set_location_assignment PIN_N6 -to ssd_D[6]
:*Exemplo de Conversor Binário para Gray (Exercício 9.7)
+
set_location_assignment PIN_V2 -to ssd_U[0]
 +
set_location_assignment PIN_V1 -to ssd_U[1]
 +
set_location_assignment PIN_U2 -to ssd_U[2]
 +
set_location_assignment PIN_U1 -to ssd_U[3]
 +
set_location_assignment PIN_Y2 -to ssd_U[4]
 +
set_location_assignment PIN_Y1 -to ssd_U[5]
 +
set_location_assignment PIN_W2 -to ssd_U[6]
 +
set_location_assignment PIN_W1 -to clk_1seg
 +
</syntaxhighlight>
 +
{{collapse bottom}}
 +
:* Analise o diagrama esquemático como funcionam as chaves e também o tipo de display. Note que no projeot o signal RST foi descrito como normalmente ALTO, podendo ser necessário acrescentar um inversor para ter o funcionamento correto. O [[Display de 7 segmentos]] da DE2-115 é do tipo catodo comum, enquanto que na MERCURIO IV ele é do tipo anado comum.
  
:*Para usar o ModelSim 10.1d na CLOUD-IFSC(191.36.8.33) abra um terminal e digite:
+
; Aula 36 (31 Out):
/opt/altera/13.0sp1/modelsim_ae/bin/vsim
+
*Projeto a nível de Sistema.
:* ou
+
FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
vsim
 
:*Para usar o ModelSim 10.1d nos Laboratórios do IFSC campus São José abra um terminal e digite:
 
/opt/altera/13.0/quartus/modelsim_ae/linux/vsim
 
  
:*Ver também [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_func_sim.htm Performing a Functional Simulation with the ModelSim-Altera Software], e [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_timing_sim.htm Performing a Timing Simulation with the ModelSim-Altera Software].
+
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
  
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
+
<syntaxhighlight lang=vhdl>
::*Implementar um sistema constituído de dois circuitos de  SHIFT RIGHT LOGICAL - SRL_L com entradas de DADOS, LOAD e RESET, e saída paralela. Crie um componente SOMADOR constituido de um somado do tipo UNSIGNED. Conecte as saídas dos SRL_L ao SOMADOR.
+
[rótulo:] assert condição_booleana
<center>
+
[report mensagem]
[[Arquivo:slr_adder.png]]
+
[severity nivel_severidade];
</center>
+
</syntaxhighlight>
  
::*Criar um testbench em VHDL para testar cada componente (SOMADOR, o SRL_L) e o sistema final. (faça com o test bench teste no minimo o funcionamento da entrada LOAD para 2 entradas diferentes de DADOS, o RESET, o SOMADOR e o funcionamento do SRL_L para uma sequencia de pelo menos N clocks, onde N é o número de bits das entradas.
+
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
  
::Note que no final deste projeto, deverão existir pelo menos os arquivos de projeto '''srl_l.vhd''', '''somador.vhd''', '''sistema.vhd''' e os arquivos de teste bench correspondentes '''srl_l_tb.vht''', '''somador_tb.vht''', '''sistema_tb.vht'''. Para facilitar a simulação no Modelsim recomenda-se criar também os arquivos de script '''srl_l.do''', '''somador.do''', '''sistema.do'''.
+
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou FAILURE. ERROR é o valor "default" [https://www.ics.uci.edu/~jmoorkan/vhdlref/assert.html].
  
-->
+
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.
<!--
 
::'''DICA''': Use o comando do Quartus II para gerar um template para o testbench. Selecione cada componente como TOP LEVEL e faça a ANÁLISE E SÍNTESE em seguida ('''Processing > Start > Start Test Bench Template Writer''').
 
:* Criação de sinais para Test Bench em VHDL
 
  
{{collapse top | Geração de sinal de clock}}
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- DECLARAR
+
function nome_funçao (lista_parametros_entrada) return tipo_saida is
constant tclk: time := 1 ns;
+
  declarações
signal clk  : std_logic := '0';
+
begin
 +
  afirmações sequenciais
 +
end function;
 +
</syntaxhighlight>
  
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
+
:* Uso de '''FUNCTION''' e '''ASSERT'''. 
clk <= not clk after tclk;
+
::* Exemplo: Declaração em ARCHITECTURE Ex.9.1
 +
::* Exemplo: Declaração em PACKAGE Ex. 9.2
 +
::* Exemplo: Declaração em ENTITY Ex. 9.3
  
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
+
Abaixo segue um exemplo de cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
PROCESS                                             
 
BEGIN 
 
  clk <= '1';
 
  wait for tclk;
 
  clk <= '0';
 
  wait for tclk;
 
END PROCESS;
 
</syntaxhighlight>
 
{{collapse bottom}}
 
  
{{collapse top | Geração de sinal de reset}}
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- DECLARAR
+
function log2c (n : integer) return integer is
constant treset: time := 100 ps;
+
variable m , p : integer;
signal reset  : std_logic;
+
begin
 
+
m := 0;
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
+
p : = 1;
reset <= '1', '0' after treset;
+
while p < n loop
 
+
m : = m + 1;
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
+
p := p * 2;
PROCESS                                             
+
end loop;
BEGIN 
+
return m;
  reset <= '1';
+
end log2c;
  wait for treset;
 
  reset <= '0';
 
  wait;
 
END PROCESS;      
 
 
</syntaxhighlight>
 
</syntaxhighlight>
{{collapse bottom}}
+
-->
 +
<!--
 +
; Aula 37 (19 mai):
 +
:*Uso de '''PROCEDURE'''.
  
{{collapse top | Geração de uma sequencia binária}}
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- DECLARAR
+
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
constant t_a: time := 100 ps;
+
  declarações
constant Nbits: natural := 8;
+
begin
signal a  : std_logic_vector(Nbits-1 downto 0);
+
  afirmações sequenciais
 +
end procedure;
 +
</syntaxhighlight>
  
-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
+
::*Exemplo: min_max Ex.9.4
PROCESS                                             
+
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
BEGIN 
+
:* Ver pag. 213 a 239 de <ref name="PEDRONI2010b"/>)
for i in 0 to 2**Nbits-1 loop
 
a <= std_logic_vector(to_unsigned(i,Nbits));
 
wait for t_a;
 
end loop;
 
END PROCESS;
 
</syntaxhighlight>
 
{{collapse bottom}}
 
  
{{collapse top | Geração de uma sequencia pseudoaleatória}}
+
; Aula 33 e 34 (23 e 26 mai):
<syntaxhighlight lang=vhdl>
+
:*Uso de '''FUNCTION''' e '''PROCEDURE'''.
-- DECLARAR
+
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
constant t_a: time := 100 ps;
 
constant Nbits: natural := 8;
 
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');
 
  
-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
+
;Aula 36 a 38 (4 a 8 jun):
-- USANDO UM CONTADOR LFSR
+
* Implementação de um serializador e um deserializador usando componentes.
PROCESS                                             
+
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
BEGIN  
+
entity div_clk is
for i in 0 to 2**Nbits-1 loop
+
entrada clk_in
a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1); -- para 8 bits
+
  saída clk_out
wait for t_a;
+
: Componente 2 - Registrador de deslocamento com entrada serial e paralelo e saída serial e paralelo com N FF, configurável pelo '''generic N'''
end loop;
+
entity shift_reg is
END PROCESS;
+
entradas clk_in, rst_in, ena_in, d_in[N-1..0], s_in
</syntaxhighlight>
+
saídas d_out[N-1..0], s_out
 +
   
 +
: Componente 3 - Porta paralela com N entradas, configurável pelo '''generic N'''.
 +
entity port_par is
 +
entradas clk_in, rst, ena, d_in[N-1..0]
 +
saídas d_out[N-1..0]
  
{{collapse bottom}}
+
* Simulação do serializador e  deserializador com ModelSim.
-->
+
* Integração dos dois componentes em um único componente.
{{collapse bottom}}
 
  
{{collapse top| Unidade 9 - Projeto Final}}
+
;Aula 27 (7 mai):
 +
* Implementar um circuito de relógio que conte HH:MM:SS.
  
===Unidade 9 - Projeto Final===
+
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
* 8 AULAS
+
:::*SOLUÇÃO: modificar o  contador para um valor maximo menor (0 a 5-1), e a  mesmo tempo mudar o período de clock para 200 ms => 5 Hz.  Notar que a simulação é extremamente rápida neste caso.
<!--
+
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit DE2-115 para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0[0-6]: PIN_G18 - PIN_H22). Note que a chave usada para o rst é normalmente alta, e portanto é necessário acrescentar um inversor a esta entrada.
;Aula 37 (7 nov) (metade da aula):
+
<syntaxhighlight lang=vhdl>
* Projeto Final - Especificação do sistema de controle de travessia de pedestres
+
-- Renomeie a porta rst para rst_in.
 +
PORT (
 +
clk, rst_in : IN BIT;
  
;Aula 41 (22 nov):
+
--Crie um sinal interno para inverter o clock
* Projeto Final - Sistema de controle de travessia de pedestres
+
ARCHITECTURE counter OF slow_counter IS
:* trabalho desenvolvido em equipes
+
signal rst : BIT;
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
+
BEGIN
 +
rst <= not rst_in;
 +
</syntaxhighlight>
 +
:::*Programe o FPGA usando o programador e verifique se a contagem está correta (a cada segundo).
  
;Aula 43 e 44 (29 e 30 nov):
+
;Aula 29,30 ):
* Projeto Final - Sistema de controle de travessia de pedestres
+
*Código Sequencial.
:* trabalho desenvolvido em equipes
+
* Projeto de relógio HH:MM:SS com conversor para 7 segmentos. Implementar um relógio usando um COMPONENT contador BCD de 00 a 99.  Instancie 3 COMPONENTES para implementar a hora, o minuto e o segundo.  O relógio deve contar de 00:00:00 até 23:59:59. Os mostradores de 7 segmentos devem ser implementados com um COMPONENT "bin2ssd" que converte o número 0 a 9 em bits do código SSD.
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
+
:* Simular o relógio HH:MM:SS com Modelsim, duração de 49 horas.
 +
:* Implementar o relógio no kit DE2-115 (opcional) - neste caso é necessário incluir um divisor de clock antes do contador de segundo.
  
;Aula 45 e 46 (5 e 6 dez):
+
::*Exercício 28.1: Inserir as duas soluções de ARCHITECTURE do exercício EX 6.10 (Timer de dois digitos) em uma única ENTITY. Utilizar CONFIGURATION para fazer a ligação com a arquitetura desejada.
* Projeto Final - Sistema de controle de travessia de pedestres
 
:* trabalho desenvolvido em equipes
 
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
 
  
;Aula 47 e 48 (12 e 13 dez):
+
<syntaxhighlight lang=vhdl>
* Projeto Final - Sistema de controle de travessia de pedestres
+
entity counter60seg is
:* trabalho desenvolvido em equipes
+
  generic (fclk: integer := 2); -- valor usado para simulação.
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
+
--  generic (fclk: integer := 50_000_000); -- valor usado para implementação com clk de 50 MHz.
 +
  port (
 +
    clk, rst : in std_logic;
 +
    ssd_un, ssd_dz: out std_logic_vector(6 downto 0));
 +
end entity ;
  
;Aula 49 (14 dez):
+
architecture version1 of counter60seg is
* Projeto Final - controle de travessia de pedestres
+
-- Versão proposta por Gabriel Cantu (processo único)
:*Apresentação do sistema no kit FPGA pelas equipes.
+
  ...
 +
begin
 +
  ...
 +
end architecture ;
 +
 
 +
architecture version2 of counter60seg is
 +
-- Versão proposta por Gustavo Constante (5 processos)
 +
  ...
 +
begin
 +
  ...
 +
end architecture ;
 +
 
 +
configuration counter60seg_cfg of counter60seg is
 +
for version1 end for;
 +
-- for version2 end for;
 +
end configuration;
 +
</syntaxhighlight>
 
-->
 
-->
 
{{collapse bottom}}
 
{{collapse bottom}}
  
==Avaliações==
+
{{collapse top| Unidade 7 - Maquinas de Estado Finitas}}
===Atividade Relâmpago (AR)===
 
As atividades relâmpago são atividades avaliativas opcionais que darão BôNUS adicionais ao aluno na próxima avaliação.  Elas normalmente consistem de soluções simples para algum problema ou sistema.  Elas são enunciadas na aula, e o prazo e a entrega serão definidos no Moodle.  Não são aceitas entregas tardias, e apenas 2 alunos podem receber o bonus.  A pontuação das atividades é informada a cada atividade.
 
  
===Avaliação A1===
+
===Unidade 7 - Maquinas de Estado Finitas===
*Conteúdo avaliado serão as unidades 2 a 4 (cap 1 - 5)
+
* 6 AULAS
*Data da avaliação () - Local: LabSiDi.
 
  
===Avaliação A2===
+
; Aula 37 (mai):
*Conteúdo avaliado serão as unidades 5 a 7 (Cap 6 a 9)
+
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
*Data da avaliação () - Local: LabSiDi.
+
:* O que é uma FSM - Finite State Machine
 
+
:* Modelo de FSM
===Recuperação R12===
+
<syntaxhighlight lang=vhdl>
*Esta avaliação somente será realizada se necessária, e deverá ser feita na última semana letiva do semestre
+
LIBRARY ieee;
*Conteúdo avaliado será as unidades 2 a 7
+
USE ieee.std_logic_1164.ALL;
*Data da avaliação () - Local: LabSiDi.  
+
----------------------------------------------------------
 
+
ENTITY < entity_name > IS
::As avaliações A1 e A2 são com consulta apenas as folhas de consulta entregues  [[Media:VHDL_QRC-Qualis.pdf | VHDL QUICK REFERENCE CARD]] e [[Media:VHDL1164_QRC-Qualis.pdf | VHDL 1164 PACKAGES QUICK REFERENCE CARD]], e as [[Media:Tabelas_Pedroni.pdf | tabelas das figuras 3.6, 3.10 e 4.1]] do livro do Pedroni.  Dica use também como fonte de consulta os '''templates''' do Quartus.
+
PORT (
::Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
+
clk, rst : IN STD_LOGIC;
 
+
input : IN < data_type > ;
===Projeto Final (PF)===
+
output : OUT < data_type >);
* O projeto final é uma atividade de avaliação desenvolvida em equipe, e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre. A avaliação do projeto final corresponde a no mínimo 45% do peso no conceito final. São avaliados no projeto final os quesitos: 1) Sistema desenvolvido (projeto, simulação e realização, demostração do harware); 2) Relatório com a documentação completa do projeto; 3) A avaliação individual do aluno durante o desenvolvimento do projeto e/ou entrevista (avaliação oral).  
+
END < entity_name > ;
<!--
+
----------------------------------------------------------
{{collapse top | expand = true | APF - Projeto Final - Sistema de controle de passagem de pedestre  (Entrega e prazos ver Moodle)}}
+
ARCHITECTURE < architecture_name > OF < entity_name > IS
* Cada  equipe de 2 ou 3 alunos deverá desenvolver um sistema de controle de passagem de pedestre.
+
TYPE state IS (A, B, C, ...);
<center>
+
SIGNAL pr_state, nx_state : state;
[[Arquivo:SemaforoPedestre2018-2.png | 1000px]] <br>
+
ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
''FONTE: Imagem cedida por Yan Lucas Martins e Guilherme José Salles Vieira''
+
ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
</center>
+
BEGIN
* A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos.
+
------Logica Sequencial da FSM:------------
Alguns detalhes gerais:
+
PROCESS (clk, rst)
* O semáforo de passagem de pedestres é controlado por botões que os pedestres acionam do lado 1 ou 2 da passagem de pedestres para solicitar a travessia.  Ao ser acionado o semáforo pode: 1) liberar imediatamente a passagem do pedestre se não houver veículos circulando na via 1 e via 2.  2) aguardar até T_espera segundos (configurável) se houver veículos circulando. 
+
BEGIN
:* Os grupos focais das vias 1 e 2 devem ser do tipo progressivo (GFPv), usando um display com dois dígitos para indicar o tempo restante no estado verde ou vermelho. 
+
IF (rst = '1') THEN
:* A passagem de pedestre tem: 1) um botão em cada lado da passagem; 2) um sistema para iluminação noturna da passagem de pedestre, 3) dois grupos focais progressivos (GFPp) com lampadas verdes e vermelhas e um display com dois dígitos para indicar o tempo restante no estado verde; 4) um sistema que emite sons indicativos para auxilio aos deficientes visuais; 5) um sistema que emite vibrações mecânicas para auxilio aos deficientes auditivos e visuais.
+
pr_state <= A;
::* A iluminação deve acender assim que um botão for acionado e apagar novamente quando o GFPp passar de verde para vermelho.
+
ELSIF (clk'EVENT AND clk = '1') THEN
::* O tempo de passagem do pedestre (T_travessia) é configurável (default = 5s x Nvias).
+
pr_state <= nx_state;
:* Se não houver acionamento do botão de solicitação de passagem do pedestre, as vias 1 e 2 devem permanecer sempre em verde.
+
END IF;
 
+
END PROCESS;
* O sistema de controle do semáforo poderá ser descrito através de máquinas de estado finita (FSM).
+
------Logica Combinacional da FSM:------------
* Para a FSM sugere-se utilizar GENERIC para definir os tempos tempos.
+
PROCESS (pr_state, input)
* O sistema de controle das FSM será baseado no valor de um timer de segundos externo a FSM (conforme mostrado em aula).
+
BEGIN
* O sistema será implementado no kit FPGA DE2-115 usando os leds disponíveis ou pinos da GPIO para acionar leds externos, e chaves para implementar os botões e sensores de veículos.
+
CASE pr_state IS
* Os sinais de relógio necessários deverão ser obtidos a partir do sinal de clock da placa de 50MHz. Durante as simulações esse circuito deverá ter seu valor alterado de modo a viabilizar a simulação.
+
WHEN A =>
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente;
+
output <= < value > ;
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
+
IF (input =< value >) THEN
 
+
nx_state <= B;
;Ver inspirações adicionais para o projeto em:
+
...
* [http://www.planalto.gov.br/ccivil_03/LEIS/L9503Compilado.htm  Código de Trânsito Brasileiro - LEI Nº 9.503, DE 23 DE SETEMBRO DE 1997], Art 68 a 71
+
ELSE
* Cálculo da distância de parada de um veículo [http://vias-seguras.com/educacao/aulas_de_educacao_no_transito/aula_09_velocidade_e_distancia_de_parada].
+
nx_state <= A;
* Exemplo de uma travessia de pedestre [https://www.google.co.uk/maps/@52.6247006,1.247869,3a,49.2y,188.5h,86.4t/data=!3m6!1e1!3m4!1sESWmhMS0FZQ0elZMfTGvMw!2e0!7i13312!8i6656]
+
END IF;
* Tipos de travessia de pedestre no Reino Unido [https://www.driving-school-beckenham.co.uk/pedestriancrossings.html]
+
WHEN B =>
 +
output <= < value > ;
 +
IF (input =< value >) THEN
 +
nx_state <= C;
 +
...
 +
ELSE
 +
nx_state <= B;
 +
END IF;
 +
WHEN ...
 +
END CASE;
 +
END PROCESS;
 +
------Seção de Saída (opcional):-------
 +
PROCESS (clk, rst)
 +
BEGIN
 +
IF (rst = '1') THEN
 +
new_output <= < value > ;
 +
ELSIF (clk'EVENT AND clk = '1') THEN --or clk='0'
 +
new_output <= output;
 +
END IF;
 +
END PROCESS;
 +
END < architecture_name > ;
 +
</syntaxhighlight>
 +
:* Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
 +
:* Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados
 +
:rst -> A -(x=0)-> A -(x=1)-> A -(x=2)-> B -(x=2)-> B -(x=0)-> C -(x=0)-> C -(x=2)-> C -(x=1)-> A -(x=2)-> B -(x=1)-> A.
 +
:: Ver pag. 277 a 35 de <ref name="PEDRONI2010b"/>
  
;Serão dadas duas bonificações no projeto:  
+
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
1) ('''BONUS 1 ponto''') para a equipe que desenvolver a melhoria que for considerada a melhor pelo cliente.
+
:* Exemplo 11.1 Controlador de uma máquina de Venda
2) ('''BONUS 1 ponto''') para a equipe que usar o menor número de componentes no projeto (menor custo).
+
::*Compile o código mostrado no Exemplo 11.1.
{{collapse bottom}}
+
::*Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.
-->
+
 
 +
:::[[Arquivo:FSM_tb40.png | 1000 px]]
 +
 
 +
:* Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
 +
; Aula 38 (mai):
 +
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
 +
:* Maquina FSM .
 +
:*Implementar a FSM para uma sinaleira manual para duas vias. A mudança do sinal deve ser feito a partir do acionamento de uma chave por um guarda.
 +
<code>
 +
ENTITY sinal_manual IS
 +
PORT (
 +
clk, rst : IN STD_LOGIC;
 +
                guarda:    IN STD_LOGIC;
 +
LVd1, LAm1, LVm1 : OUT std_logic; -- Lampadas da Via 1
 +
                LVd2, LAm2, LVm2 : OUT std_logic  -- Lampadas da Via 2
 +
        );
 +
END entity ;
 +
</syntaxhighlight>
 +
O diagrama da FSM deve ser algo parecido com o mostrado abaixo
 +
<center>
 +
[[Arquivo:FSMSinaleiraManual.png|800px]]
 +
</center>
 +
*Note que se o sinal guarda permanece alto por mais que um clock, ocorre a mudança de mais de um estado.  Para evitar esse problema pode ser utilizada a técnica de usar um FLAG, ou estados de WAIT no qual se aguarda o retorno dos sinal para baixo antes de avançar para o próximo estado.
  
===Atividades Extraclasse (AE)===
 
*Entrega dos Atividades Extraclasse  ao longo do semestre AE(0) a AE(N). A entrega, detalhes e prazos serão indicados na plataforma Moodle.
 
<!--
 
{{collapse top |  AE0 - Resumo expandido de artigo}}
 
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas:
 
:: OU [[Media:Artigo_DLP_Kamila2013.pdf | Dispositivos Lógicos Programáveis]] de Kamila Rose da Silva, IFSC.
 
:: OU [http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.3122 Kuon, Ian Carlos, Russell Tessier, and Jonathan Rose. 2008. “FPGA Architecture: Survey and Challenges.” Foundations and Trends in Electronic Design Automation 2 (2): 135–253],[http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.153.3122&rep=rep1&type=pdf].
 
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br Plataforma Moodle de DLP29006], dentro do prazo indicado.
 
{{collapse bottom}}
 
  
{{collapse top | AR3 - operações de SOMA ou SUBTRAÇÃO ou PRODUTO ou DIVISÃO}}
+
; Aula 39 (mai):
*Implementar em VHDL uma unidade de processamento que efetue as operações de SOMA ou SUBTRAÇÃO ou PRODUTO ou DIVISÃO, considerando valores SIGNED. As características do circuito são:
+
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
:* As portas de entrada e saída são todas do tipo STD_LOGIC(_VECTOR).
+
:* Maquina FSM temporizada.
:* As entradas <math> a </math> e <math> b </math> devem ter N bits, e a saída <math> r </math> N+1 bits.
+
:*Implementar a maquina temporizada para uma sinaleira automática para duas vias.
:* O circuito tem uma entrada <math> op </math>, a qual define o tipo de operação que deve ser feita entre as entradas <math> a </math> e <math> b </math>, disponibilizando na saída o resultado dessa operação. <math> op = 00 </math> indica SOMA (a+b),  <math> op = 01 </math> indica SUBTRAÇÃO (a-b), <math> op = 10 </math> indica PRODUTO (a*b),  <math> op = 11 </math> indica DIVISÃO (a/b).
+
<code>
* Faça a simulação funcional do circuito, testando o circuito usando no mínimo com
+
ENTITY sinal_auto IS
:<math> {op = 00, a = valor+, b = valor+} </math>,
+
GENERIC (TVd1: natural := 300; TVd2: natural := 120; TAm: natural := 10);
:<math> {op = 01, a = valor+, b = valor+} </math>,
+
PORT (
:<math> {op = 10, a = valor+, b = valor+} </math>,
+
clk, rst : IN STD_LOGIC;
:<math> {op = 11, a = valor+, b = valor+} </math>,
+
LVd1, LAm1, LVm1 : OUT std_logic; -- Lampadas da Via 1  
onde <math> valor+ , valor- </math> são respectivamente valores numéricos positivos e negativos.
+
                LVd2, LAm2, LVm2 : OUT std_logic  -- Lampadas da Via 2
* Note que alguns valores de entrada ainda pode ocorrer erro, mesmo tendo um bit a mais na saída.  Em que situação isso pode ocorrer? Mostre uma situação em que isso ocorre na simulação.
+
        );
:* O circuito pode ter uma saída <math> erro </math> adicional que indica quando o resultado tem erro.
+
END entity ;
 +
</syntaxhighlight>
 +
Os tempos '''TVd1''' e '''TVd2''' são os tempos em segundos para verde das vias 1 e 2 respectivamente.  '''TAm''' é o tempo em segundos que fica em amarelo em ambas vias.
 +
 
 +
A simulação no ModelSim da sinaleira deve resultar em algo conforme mostrado abaixo:
 +
<center>
 +
[[Arquivo:SimSinaleiraAutom.png|800px]]
 +
</center>
 +
 
 +
*'''DICA:''' Use na simulação comandos que automatizam o processo através de um script '''tb_sinal_auto.do'''
 +
<code>
 +
vcom -93 -work work {../../sinal_auto.vhd}
 +
vsim work.sinal_auto
 +
do wave.do
 +
force -freeze sim:/sinal_auto/clk 1 0, 0 {0.5sec} -r {1 sec}
 +
force -freeze sim:/sinal_auto/rst 1 0, 0 0.01 sec
 +
run 25 min
 +
</syntaxhighlight>
  
{{collapse bottom}}
 
-->
 
<!--
 
{{collapse top |AE0 - Resumo estendido de Artigo (Entrega e Prazo ver Moodle)}}
 
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas [https://www.dropbox.com/s/wvl3cudgcpchstr/ARTIGO_ACADEMICO_DLP.pdf?dl=0 Dispositivos Lógicos Programáveis] de Kamila Rose da Silva, IFSC.
 
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top | AE1 - Uso de código concorrente - ALU modificada (Entrega e Prazo ver Moodle)}}
+
{{collapse top| Unidade 8 - Testbench}}
*Implemente em VHDL uma Unidade de Lógica e Aritmética que tem como entrada os sinais A e B e que execute as seguintes instruções lógicas e aritméticas conforme o '''opcode''' de entrada:
 
  
;Unidade Lógica:
+
===Unidade 8 - Testbench===
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
+
* 2 AULAS
! scope="col" width=25% align="center"| Instrução
+
; Aula 40 (27 mai):
! scope="col" width=25% align="center"| Operação
+
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
! scope="col" width=25% align="center"| opcode
+
:*Tipos de simulação:
! scope="col" width=25% align="center"| GRUPOS
+
::1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
|-
+
::2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
| Complemento de A || Y = not A || 0000 || 1, 2, 3
+
::3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída  - OK já visto
|-
+
::4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
| Complemento de B || Y = not B || 0001 || 4, 5, 6
+
::5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
|-
+
::6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
| And || Y = A nand B || 0010 || 1, 3
+
::7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
|-
+
::8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
| Nand || Y = A and B || 0011 || 2, 4
+
:* Simular a maquina de venda de doces Ex 11.1
|-
+
 
| Or || Y = A nor B || 0100 || 5, 6
+
<!--
|-
+
:*Exemplo de Conversor Binário para Gray (Exercício 9.7)
| Nor || Y = A or B || 0101 || 1, 4
+
 
|-
+
:*Para usar o ModelSim 10.1d na CLOUD-IFSC(191.36.8.33) abra um terminal e digite:
| Xor || Y = A xor B || 0110 || 2, 5
+
/opt/altera/13.0sp1/modelsim_ae/bin/vsim
|-
+
:* ou
| Xnor || Y = A xnor B || 0111 || 3, 6
+
vsim
|}
+
:*Para usar o ModelSim 10.1d nos Laboratórios do IFSC campus São José abra um terminal e digite:
 +
/opt/altera/13.0/quartus/modelsim_ae/linux/vsim
 +
 
 +
:*Ver também [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_func_sim.htm Performing a Functional Simulation with the ModelSim-Altera Software], e [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_timing_sim.htm Performing a Timing Simulation with the ModelSim-Altera Software].
  
;Unidade Aritmética: (onde os valores de A e B podem ser positivos ou negativos)
+
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
 +
::*Implementar um sistema constituído de dois circuitos de  SHIFT RIGHT LOGICAL - SRL_L com entradas de DADOS, LOAD e RESET, e saída paralela. Crie um componente SOMADOR constituido de um somado do tipo UNSIGNED. Conecte as saídas dos SRL_L ao SOMADOR.
 +
<center>
 +
[[Arquivo:slr_adder.png]]
 +
</center>
  
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
+
::*Criar um testbench em VHDL para testar cada componente (SOMADOR, o SRL_L) e o sistema final. (faça com o test bench teste no minimo o funcionamento da entrada LOAD para 2 entradas diferentes de DADOS, o RESET, o SOMADOR e o funcionamento do SRL_L para uma sequencia de pelo menos N clocks, onde N é o número de bits das entradas.
! scope="col" width=25% align="center"| Instrução
+
 
! scope="col" width=25% align="center"| Operação
+
::Note que no final deste projeto, deverão existir pelo menos os arquivos de projeto '''srl_l.vhd''', '''somador.vhd''', '''sistema.vhd''' e os arquivos de teste bench correspondentes  '''srl_l_tb.vht''', '''somador_tb.vht''', '''sistema_tb.vht'''.  Para facilitar a simulação no Modelsim recomenda-se criar também os arquivos de script '''srl_l.do''', '''somador.do''', '''sistema.do'''.
! scope="col" width=25% align="center"| opcode
+
 
! scope="col" width=25% align="center"| GRUPOS
+
-->
|-
+
::'''DICA''': Use o comando do Quartus II para gerar um template para o testbench. Selecione cada componente como TOP LEVEL e faça a ANÁLISE E SÍNTESE em seguida ('''Processing > Start > Start Test Bench Template Writer''').
| Transfere A || Y = A || 1000 || 1, 2, 3, 4, 5, 6
+
:* Criação de sinais para Test Bench em VHDL
|-
+
 
| Transfere B || Y = B || 1001 || 1, 2, 3, 4, 5, 6
+
{{collapse top | Geração de sinal de clock}}
|-
+
<syntaxhighlight lang=vhdl>
| complemento-2 de A || Y = -A || 1010 || 1, 2, 3
+
-- DECLARAR
|-
+
constant tclk: time := 1 ns;
| complemento-2 de B || Y = -B || 1010 || 4, 5, 6
+
signal clk  : std_logic := '0';
|-
+
 
| Incrementa A || Y = A + 1 || 1011 || 1, 4
+
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
|-
+
clk <= not clk after tclk;
| Decrementa A || Y = A - 1 || 1011 || 2, 5
+
 
|-
+
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
| Incrementa B || Y = B + 1 || 1100 || 3, 6
+
PROCESS                                             
|-
+
BEGIN 
| Soma A e B|| Y = A + B || 1101 || 1, 2
+
   clk <= '1';
|-
+
  wait for tclk;
| Subtrai B de A|| Y = A - B || 1110 || 3, 4
+
   clk <= '0';
|-
+
  wait for tclk;
| Subtrai A de B|| Y = B - A || 1110 || 5, 6
+
END PROCESS;
|-
 
| Dobra o valor A || Y = A * 2 || 1111 || 1, 2, 3
 
|-
 
| Dobra o valor B || Y = B * 2 || 1111 || 4, 5, 6
 
|}
 
* A ENTITY deverá ter a seguinte interface:
 
<syntaxhighlight lang=matlab>
 
ENTITY alu IS
 
GENERIC (N: INTEGER := 4); --word bits
 
PORT (
 
A, B:   IN  STD_LOGIC_VECTOR (N-1 DOWNTO 0);
 
OPCODE: IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
 
        ERRO:   OUT STD_LOGIC;
 
Y:      OUT STD_LOGIC_VECTOR (N-1 DOWNTO 0));
 
END ENTITY;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
* Verifique qual é o seu grupo, você apenas precisa implementar e simular as funções indicadas para o ele.
+
{{collapse bottom}}
* Proponha uma ARCHITECTURE que implemente a ALU (Dica: utilize internamente o tipo de dado tipo '''integer''' ou do tipo "SIGNED").
 
* Se na operação realizada o resultado em '''Y''' não estiver correto, a saída '''ERRO''' deve ser setada.
 
* Anote o número de Elementos Lógicos (ou ALUTs) utilizados [Compilation Report > Flow Summary].
 
* Verifique o código RTL gerado [Tools > Netlist Viewers > RTL Viewer]
 
* Faça a simulação funcional no QSIM  usando os valores mínimos e máximos e outros pares de valores representáveis e verifique se o resultado das operações está correto. Teste cada instrução (opcode) em separado.  Analise os resultados obtidos para entradas com 4 bits e verifique os casos em que há ''ERRO''.
 
* Escreva um relatório de no '''máximo 15''' páginas contendo: título, identificação, introdução, o desenvolvimento da atividade e resultados, conclusão.  O relatório deve conter as simulações funcionais feitas e a análise dos resultados obtidos. Também é fundamental relate o número de elementos lógicos necessários, o tipo de FPGA utilizado, e o máximo tempo de propagação deste circuito.
 
* Salve a imagem do circuito RTL e envie com arquivo .'''PNG'''.
 
* A entrega do relatório em .'''PDF''' e do arquivo .'''QAR''' e .'''PNG''' deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 
{{collapse bottom}}
 
  
{{collapse top | expand = true | APF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)}}
+
{{collapse top | Geração de sinal de reset}}
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono  mostrado na figura abaixo:
+
<syntaxhighlight lang=vhdl>
<center>
+
-- DECLARAR
[[Arquivo:APF_DLP29006_2017_2_Serial_Assincrono.png | 600 px]]
+
constant treset: time := 100 ps;
 +
signal reset  : std_logic;
  
'''Figura 1. Sistema de Comunicação Serial Assíncrono com Endereçamento de A para B'''
+
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
 +
reset <= '1', '0' after treset;
  
[[Arquivo:APF_DLP29006_2017_2_UART_TX.png | 600 px]]
+
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
 
+
PROCESS                                             
'''Figura 2. Interface transmissora serial'''
+
BEGIN 
 +
  reset <= '1';
 +
  wait for treset;
 +
  reset <= '0';
 +
  wait;
 +
END PROCESS;     
 +
</syntaxhighlight>
 +
{{collapse bottom}}
  
[[Arquivo:APF_DLP29006_2017_2_UART_RX.png | 600 px]]
+
{{collapse top | Geração de uma sequencia binária}}
 +
<syntaxhighlight lang=vhdl>
 +
-- DECLARAR
 +
constant t_a: time := 100 ps;
 +
constant Nbits: natural := 8;
 +
signal a  : std_logic_vector(Nbits-1 downto 0);
  
'''Figura 3. Interface receptora serial'''</center>
+
-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
 +
PROCESS                                             
 +
BEGIN 
 +
for i in 0 to 2**Nbits-1 loop
 +
a <= std_logic_vector(to_unsigned(i,Nbits));
 +
wait for t_a;
 +
end loop;
 +
END PROCESS;
 +
</syntaxhighlight>
 +
{{collapse bottom}}
  
* As Taxa de Transmissão deverão ser geradas a partir do clock principal '''CLK50MHz''' do kit a ser utilizado.
+
{{collapse top | Geração de uma sequencia pseudoaleatória}}
* A seleção da Taxa de Transmissão será feita através das chaves '''SW_BAUD_TX'''[1..0] para o transmissor e das '''SW_BAUD_RX'''[1..0] para o receptor.
+
<syntaxhighlight lang=vhdl>
::00 => 0.1 bps, 01 => 10 bps, 10 => 1 kbps, 11 => 100 kbps.
+
-- DECLARAR
* Na transmissão deverá ser enviado um bit paridade (par ou impar) de acordo com a chave seletora '''SW_PARITY'''.
+
constant t_a: time := 100 ps;
:: 0 => paridade par, 1 => paridade impar.
+
constant Nbits: natural := 8;
*No receptor a saída '''RX_ERROR''' deve ser usada para indicar que houve erro na recepção do dado.
+
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');
  
<center>
+
-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
[[Arquivo:APF_DLP29006_2017_2_times.png | 900 px]]
+
-- USANDO UM CONTADOR LFSR
</center>
+
PROCESS                                             
 +
BEGIN 
 +
for i in 0 to 2**Nbits-1 loop
 +
a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1);  -- para 8 bits
 +
wait for t_a;
 +
end loop;
 +
END PROCESS;
 +
</syntaxhighlight>
  
* O sistema no seu '''TOP LEVEL''' deverá ser constituído de um '''Conversor Paralelo Serial''', um '''Conversor Serial Paralelo''', dois '''Geradores de Baud Rate''', um '''Gerador de Paridade''', um '''Detector de Paridade''', um '''Detector de Número de Destino''', um '''Circuito de Entrada de Dados''', e um '''Circuito de Saída de Dados'''.
+
{{collapse bottom}}
 +
{{collapse bottom}}
  
* O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentes.  Recomenda-se utilizar também componentes para a criação dos circuitos no segundo nível.  O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
+
;Aula 41 (7 jun):
 +
*Revisão para avaliação A2
  
* Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para 100kHz de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM, sendo a última obrigatoriamente feita usando testbench em VHDL.
+
*Implementar um ''debouncer'' de chave mecânica, considerando que o período de ''bouncing'' é de no máximo 10ms.
 +
:*Considere que existe disponível um sinal de clock de 1ms.
  
* O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 (ou Mercúrio IV) usando as chaves e leds disponíveis (ou pinos da GPIO para acionar circuitos externos).
+
<center>
 +
[[Arquivo:DeboucerFig6_18.jpg | 800px]]
 +
</center>
 +
FONTE: Pedroni.
 +
*Usando um clock de 50MHz, gere os sinais de clock com duração de 1ms e de 1 segundo.
 +
:*Use duas instância de um componente '''divisor de clock''' para fazer o circuito hierárquico.
  
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
+
;Aula 42 (10 jun):
 +
*Avaliação A2
  
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
+
{{collapse top| expand=true | Unidade 9 - Projeto Final}}
  
* Para entender o sistema de transmissão recomenda-se consulte materiais complementares sobre [https://en.wikipedia.org/wiki/Asynchronous_serial_communication Comunicação serial assíncrona], [https://en.wikipedia.org/wiki/Parity_bit bit de paridade], [https://en.wikipedia.org/wiki/Universal_asynchronous_receiver-transmitter UART], [https://en.wikipedia.org/wiki/Bit_rate Taxa de bits].
+
===Unidade 9 - Projeto Final===
 +
* 8 AULAS
 +
;Aula 40 (07 jun):
 +
*Projeto a nível de Sistema.
 +
*Especificação do projeto final: 
 +
:*Objetivo: transmitir através de um transmissor serial assíncrono uma mensagem ASCII.
 +
:*Especificação:  A especificação foi informada para os alunos em sala, e estes são responsáveis por criar o texto de especificação do sistema, incluindo detalhes de forma de testes.
  
{{collapse bottom}}
+
;Aula 43 (14 jun):
-->
+
*Equipes trabalhando no projeto no Laboratório.
  
===Estudos livres sem entrega de documentação (EL)===
+
FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
*Os estudos livres são fortemente recomendados aos alunos como forma de melhor compreender o assunto estudado em cada unidade.  Nas listas de exemplos e exercícios, os essenciais estão destacados em negrito. Não há prazos nem entregas desses estudos no Moodle, mas pede-se que os alunos realizem esses estudos e tirem suas dúvidas nas aulas destinadas a resolução de exercícios, ou nos minutos iniciais  das aulas.
 
<!--
 
{{collapse top | EL1 - Resolução dos exercícios do Cap 2}}
 
*Resolva os exercícios do capítulo 2 (1, 2, 3) pag. 28 a 30
 
;Exercise 2.1: Multiplexer:
 
  
*Complete o código VHDL abaixo para que ele seja correspondente a um multiplexador que selecione a entrada A quando sel ="01", B quando sel ="10", coloque "0...0" na saída quando sel ="00" e mantenha a saída em alta impedância "Z...Z" quando sel="11".  
+
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
::*Compile o código e em seguida faça a simulação, para verificar se o circuito funciona conforme
 
especificado. 
 
::*Anote as mensagens de warning do compilador.
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
---------------------------------------
+
[rótulo:] assert condição_booleana
-- File: mux.vdh
+
[report mensagem]
---------------------------------------
+
[severity nivel_severidade];
-- Declaração das Bibliotecas e Pacotes
+
</syntaxhighlight>
--
+
 
LIBRARY ieee;
+
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
USE _________________________ ;
+
 
 +
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou  FAILURE. ERROR é o valor "default" [https://www.ics.uci.edu/~jmoorkan/vhdlref/assert.html].
 +
 
 +
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE. 
 +
 
 +
<syntaxhighlight lang=vhdl>
 +
function nome_funçao (lista_parametros_entrada) return tipo_saida is
 +
  declarações
 +
begin
 +
  afirmações sequenciais
 +
end function;
 +
</syntaxhighlight>
 +
 
 +
:* Uso de '''FUNCTION''' e '''ASSERT'''.
 +
::* Exemplo: Declaração em ARCHITECTURE Ex.9.1
 +
::* Exemplo: Declaração em PACKAGE Ex. 9.2
 +
::* Exemplo: Declaração em ENTITY Ex. 9.3
 +
 
 +
Abaixo segue um exemplo de cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
  
---------------------------------------
+
<syntaxhighlight lang=vhdl>
-- Especificação das entradas e saídas e nome da ENTITY
+
function log2c (n : integer) return integer is
ENTITY mux IS
+
variable m , p : integer;
   PORT (  
+
begin
   __ , __ : ___ STD_LOGIC_VECTOR (7 DOWNTO 0);
+
m := 0;
   sel : IN ____________________________ ;
+
p : = 1;
   ___ : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
+
while p < n loop
END _____ ;
+
m : = m + 1;
---------------------------------------
+
p := p * 2;
ARCHITECTURE example OF _____ IS
+
end loop;
 +
return m;
 +
end log2c;
 +
</syntaxhighlight>
 +
 
 +
;Aula 44 (17 jun):
 +
 
 +
* Projeto Final - Detalhamento em sala da especificação do transmissor serial assíncrono
 +
:*Sugestão detalhada para o gerador de baud-rate, utilizando divisores de clock em paralelo ou em cascata.
 +
:*Sugestão de uso do registrador de deslocamento para o conversor paralelo/serial.
 +
:*Sugestão de uso de uma maquina de estados para o conversor paralelo/serial.
 +
:*Sugestão de entrada da mensagem por chaves binárias ou através de memórias rom (String constante).
 +
:*Sugestão de uso de um conversor de ASCII para sete segmentos
 +
*Alguns detalhes do projeto
 +
:*Definição de prazo:
 +
1) A parte inicial do projeto deve ter sua especificação concluída até o dia 14/06.
 +
:* O trabalho poderá ser desenvolvido em equipes de até 2 alunos (devido ao número impar de alunos é permitida uma única equipe de 3 alunos). O trabalho também pode ser feito de forma individual, mediante justificativa.
 +
:* Durante as aulas até o final do professor estará disponível para o esclarecimento de dúvidas e orientação das equipes.
 +
:* A presença dos alunos é obrigatória nas aulas de projeto, pois os mesmos estarão sendo avaliados no seu trabalho em equipe e individual durante estas aulas.
 +
 
 +
;Aula 45 (24 jun):
 +
*Equipes trabalhando no projeto no Laboratório.
 +
*Dica para uso do tipo STRING no VHDL.
 +
:* O STRING é um tipo predefinido do [[Standard.vhdl | pacote Standard]], sendo um array de uma dimensão onde cada elemento é do tipo CHARACTER.
 +
type string is array (positive range <>) of character;
 +
:* Note que o range é positivo, portanto deve ser de maior que 1, podendo ser usado o range ascendente ou descendente.
 +
:* Cada CHARACTER é uma letra ou simbolo representado por um código ASCII.
 +
<syntaxhighlight lang=vhdl>
 +
type character is (
 +
nul, soh, stx, etx, eot, enq, ack, bel,
 +
bs,  ht,  lf,  vt,  ff,  cr,  so,  si,
 +
dle, dc1, dc2, dc3, dc4, nak, syn, etb,
 +
can, em,  sub, esc, fsp, gsp, rsp, usp,
 +
 +
' ', '!', '"', '#', '$', '%', '&', ''',
 +
'(', ')', '*', '+', ',', '-', '.', '/',
 +
'0', '1', '2', '3', '4', '5', '6', '7',
 +
'8', '9', ':', ';', '<', '=', '>', '?',
 +
 +
'@', 'A', 'B', 'C', 'D', 'E', 'F', 'G',
 +
'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O',
 +
'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W',
 +
'X', 'Y', 'Z', '[', '\', ']', '^', '_',
 +
 +
'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
 +
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
 +
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
 +
'x', 'y', 'z', '{', '|', '}', '~', del );
 +
</syntaxhighlight>
 +
:* As atribuições em um objeto do tipo STRING são realizadas do mesmo modo que em qualquer outro array.  Pode ser feita a atribuição em um simples elemento, concatenação, agregação, fatiamento ou combinação dos anteriores.  Também estão disponíveis operadores predefinidos como "=", "/=", "<", "<=", ">", ">=" e "&".
 +
:* A concatenação pode ser feita entre 2 strings, ou entre string e caracter ou até mesmo entre dois caracteres para criar uma string.
 +
 
 +
<syntaxhighlight lang=vhdl>
 +
-- Declaração dos objetos
 +
constant mensagem1 : String(1 to 25) := "Este é um teste de string";
 +
constant N: natural := 7;
 +
signal letra1 : character;
 +
signal mensagem2 : string(1 to 29);
 +
signal mensagem3 : string(1 to 3);
 +
signal letra_nat : natural range 0 to 2**N-1;
 +
signal letra_slv : std_logic_vector(N-1 downto 0);
 +
 
 +
-- Uso dos objetos na ARCHITECTURE
 +
 
 +
mensagem2 <= mensagem1(1 to 5) & "não " & mensagem1(6 to 25);
 +
-- Resulta na STRING "Este não é um teste de string"
 +
 
 +
mensagem3 <= 'D' & 'L' & 'P';
 +
-- Resulta na STRING "DLP"
 +
 
 +
letra1 <= mensagem2(6)
 +
-- Resulta no CHARACTER 'u'
 +
 
 +
-- posiçao da letra na tabela ascii => resulta em natural de 0 a 255
 +
letra_nat <= character'pos(letra1);
 +
 
 +
-- letra convertida para slv de N bits
 +
-- necessita de std_logic_1164 e numeric_std
 +
letra_slv <= std_logic_vector(to_unsigned(character'pos(letra1),N));
 +
</syntaxhighlight>
 +
 
 +
<center>
 +
Figura - Simulação da transmissão sequencial das letras de uma palavra
 +
 
 +
[[Arquivo:VHDL_Modelsim_string.png | 1000px]]
 +
</center>
 +
:* Na representação em RADIX-ASCII, tanto o SIGNAL letra_nat e letra_slv são mostrados como CHARACTER ASCII.
 +
:* Na representação em RADIX-DECIMAL, tanto o SIGNAL letra_nat e letra_slv mostram a posição do CHARACTER na definição do TYPE em decimal.
 +
:* Na representação em RADIX-HEXADECIMAL, tanto o SIGNAL letra_nat e letra_slv mostram a posição do CHARACTER na definição do TYPE em hexadecimal.
 +
:* Na representação em RADIX-BINAY, tanto o SIGNAL letra_nat e letra_slv mostram a posição do CHARACTER na definição do TYPE em binário. (esse código corresponde a representação em bits do CHARACTER ASCII)
 +
 
 +
====ATUAL====
 +
;Aula 46 a 48 (28 jun a 04 jul):
 +
*Trabalho das equipes no projeto
 +
*Término do projeto e simulação no Modelsim
 +
*Teste no kit DE2-115
 +
 
 +
;Aula 49 (05 jul):
 +
* Projeto Final
 +
:*Apresentação do sistema no kit FPGA pelas equipes.
 +
 
 +
{{collapse bottom}}
 +
 
 +
;Aula 50 (08 jul):
 +
*Avaliação de recuperação R12.
 +
 
 +
==Avaliações==
 +
===Atividade Relâmpago (AR)===
 +
As atividades relâmpago são atividades avaliativas opcionais que darão BôNUS adicionais ao aluno na próxima avaliação.  Elas normalmente consistem de soluções simples para algum problema ou sistema.  Elas são enunciadas na aula, e o prazo e a entrega serão definidos no Moodle.  Não são aceitas entregas tardias, e apenas 2 alunos podem receber o bonus.  A pontuação das atividades é informada a cada atividade.
 +
 
 +
===Avaliação A1===
 +
*Conteúdo avaliado serão as unidades 2 a 4 (cap 1 - 5)
 +
*Data da avaliação (25/04/2019) - Local: LabSiDi.
 +
 
 +
===Avaliação A2===
 +
*Conteúdo avaliado serão as unidades 5 a 7 (Cap 6 a 9)
 +
*Data da avaliação () - Local: LabSiDi.
 +
 
 +
===Recuperação R12===
 +
*Esta avaliação somente será realizada se necessária, e deverá ser feita na última semana letiva do semestre
 +
*Conteúdo avaliado será as unidades 2 a 7
 +
*Data da avaliação () - Local: LabSiDi.
 +
 
 +
::As avaliações A1 e A2 são com consulta apenas as folhas de consulta entregues: 
 +
<!--
 +
*[[Media:VHDL_QRC-Qualis.pdf | VHDL QUICK REFERENCE CARD]] - Qualis
 +
*[[Media:VHDL1164_QRC-Qualis.pdf | VHDL 1164 PACKAGES QUICK REFERENCE CARD]] Qualis
 +
-->
 +
*[[Media:VHDL_QRC-SynthWorks.pdf | VHDL Quick Reference]] - SynthWorks
 +
*[[Media:VHDL_Type_Operators_QR-SynthWorks.pdf | VHDL Types and Operators Quick Reference]] - SynthWorks
 +
*[[Media:ModelSim_QR-SynthWorks.pdf |ModelSim Quick Reference]] - SynthWorks
 +
*[[Media:Tabelas_Pedroni.pdf | Tabelas das figuras 3.6, 3.10 e 4.1]] do livro do Pedroni.
 +
*[[Arquivo:Numeric_std_conversions.png | Diagrama de conversões de tipos numéricos no pacote Numeric_std ]] 
 +
::Dica use também como fonte de consulta os '''templates''' do Quartus.
 +
::Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
 +
 
 +
===Projeto Final (PF)===
 +
* O projeto final é uma atividade de avaliação desenvolvida em equipe, e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre.  A avaliação do projeto final corresponde a no mínimo 45% do peso no conceito final. São avaliados no projeto final os quesitos: 1) Sistema desenvolvido (projeto, simulação e realização, demostração do harware); 2) Relatório com a documentação completa do projeto; 3) A avaliação individual do aluno durante o desenvolvimento do projeto e/ou entrevista (avaliação oral).
 +
 
 +
{{collapse top | expand = true | PF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)}}
 +
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono.
 +
* A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos. 
 +
<!--
 +
  mostrado na figura abaixo:
 +
<center>
 +
[[Arquivo:APF_DLP29006_2017_2_Serial_Assincrono.png | 600 px]]
 +
 
 +
'''Figura 1. Sistema de Comunicação Serial Assíncrono com Endereçamento de A para B'''
 +
 
 +
[[Arquivo:APF_DLP29006_2017_2_UART_TX.png | 600 px]]
 +
 
 +
'''Figura 2. Interface transmissora serial'''
 +
 
 +
[[Arquivo:APF_DLP29006_2017_2_UART_RX.png | 600 px]]
 +
 
 +
'''Figura 3. Interface receptora serial'''</center>
 +
 
 +
* As taxa de transmissão deverão ser geradas a partir do clock principal '''CLK50MHz''' do kit a ser utilizado.
 +
* A seleção da Taxa de Transmissão será feita através das chaves '''SW_BAUD_TX'''[1..0] para o transmissor e das '''SW_BAUD_RX'''[1..0] para o receptor.
 +
:Por exemplo:
 +
::00 => 0.1 bps, 01 => 10 bps, 10 => 9600 bps, 11 => 100 kbps.
 +
* Na transmissão deverá ser enviado um bit paridade (par ou impar) de acordo com a chave seletora '''SW_PARITY'''.
 +
:: 0 => paridade par, 1 => paridade impar.
 +
*No receptor a saída '''RX_ERROR''' deve ser usada para indicar que houve erro na recepção do dado.
 +
<!--
 +
<center>
 +
[[Arquivo:APF_DLP29006_2017_2_times.png | 900 px]]
 +
</center>
 +
-->
 +
* O sistema no seu '''TOP LEVEL''' deverá ser constituído de um '''Conversor Paralelo Serial''', um '''Conversor Serial Paralelo''', dois '''Geradores de Baud Rate''', um '''Gerador de Paridade''', um '''Detector de Paridade''', um '''Circuito de Entrada de Dados''', e um '''Circuito de Saída de Dados'''.
 +
 
 +
* O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentes.  Recomenda-se utilizar também componentes para a criação dos circuitos no segundo nível.  O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
 +
 
 +
* Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para um clock menor de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM, sendo a última obrigatoriamente feita usando testbench em VHDL.
 +
 
 +
* O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 usando as chaves e leds disponíveis e os pinos da GPIO para acionar circuitos externos.
 +
 
 +
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
 +
 
 +
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
 +
 
 +
* Para entender o sistema de transmissão recomenda-se consulte materiais complementares sobre [https://en.wikipedia.org/wiki/Asynchronous_serial_communication Comunicação serial assíncrona], [https://en.wikipedia.org/wiki/Parity_bit bit de paridade], [https://en.wikipedia.org/wiki/Universal_asynchronous_receiver-transmitter UART], [https://en.wikipedia.org/wiki/Bit_rate Taxa de bits].
 +
*Para o teste da transmissão serial pode ser utilizada a interface DB9 disponível nos computadores, em conjunto com o software minicom.
 +
:*[https://manpages.debian.org/testing/minicom/minicom.1.en.html minicom man]
 +
:*[http://processors.wiki.ti.com/index.php/Setting_up_Minicom_in_Ubuntu Instalação e configuração do minicom no linux]
 +
{{collapse bottom}}
 +
<!--
 +
{{collapse top | expand = true | APF - Projeto Final - Sistema de controle de passagem de pedestre  (Entrega e prazos ver Moodle)}}
 +
* Cada  equipe de 2 ou 3 alunos deverá desenvolver um sistema de controle de passagem de pedestre.
 +
<center>
 +
[[Arquivo:SemaforoPedestre2018-2.png | 1000px]] <br>
 +
''FONTE: Imagem cedida por Yan Lucas Martins e Guilherme José Salles Vieira''
 +
</center>
 +
 
 +
Alguns detalhes gerais:
 +
* O semáforo de passagem de pedestres é controlado por botões que os pedestres acionam do lado 1 ou 2 da passagem de pedestres para solicitar a travessia.  Ao ser acionado o semáforo pode: 1) liberar imediatamente a passagem do pedestre se não houver veículos circulando na via 1 e via 2.  2) aguardar até T_espera segundos (configurável) se houver veículos circulando. 
 +
:* Os grupos focais das vias 1 e 2 devem ser do tipo progressivo (GFPv), usando um display com dois dígitos para indicar o tempo restante no estado verde ou vermelho. 
 +
:* A passagem de pedestre tem: 1) um botão em cada lado da passagem; 2) um sistema para iluminação noturna da passagem de pedestre, 3) dois grupos focais progressivos (GFPp) com lampadas verdes e vermelhas e um display com dois dígitos para indicar o tempo restante no estado verde; 4) um sistema que emite sons indicativos para auxilio aos deficientes visuais; 5) um sistema que emite vibrações mecânicas para auxilio aos deficientes auditivos e visuais.
 +
::* A iluminação deve acender assim que um botão for acionado e apagar novamente quando o GFPp passar de verde para vermelho.
 +
::* O tempo de passagem do pedestre (T_travessia) é configurável (default = 5s x Nvias).
 +
:* Se não houver acionamento do botão de solicitação de passagem do pedestre, as vias 1 e 2 devem permanecer sempre em verde.
 +
 
 +
* O sistema de controle do semáforo poderá ser descrito através de máquinas de estado finita (FSM).
 +
* Para a FSM sugere-se utilizar GENERIC para definir os tempos tempos.
 +
* O sistema de controle das FSM será baseado no valor de um timer de segundos externo a FSM (conforme mostrado em aula).
 +
* O sistema será implementado no kit FPGA DE2-115 usando os leds disponíveis ou pinos da GPIO para acionar leds externos, e chaves para implementar os botões e sensores de veículos.
 +
* Os sinais de relógio necessários deverão ser obtidos a partir do sinal de clock da placa de 50MHz. Durante as simulações esse circuito deverá ter seu valor alterado de modo a viabilizar a simulação.
 +
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente;
 +
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
 +
 
 +
;Ver inspirações adicionais para o projeto em:
 +
* [http://www.planalto.gov.br/ccivil_03/LEIS/L9503Compilado.htm  Código de Trânsito Brasileiro - LEI Nº 9.503, DE 23 DE SETEMBRO DE 1997], Art 68 a 71
 +
* Cálculo da distância de parada de um veículo [http://vias-seguras.com/educacao/aulas_de_educacao_no_transito/aula_09_velocidade_e_distancia_de_parada].
 +
* Exemplo de uma travessia de pedestre [https://www.google.co.uk/maps/@52.6247006,1.247869,3a,49.2y,188.5h,86.4t/data=!3m6!1e1!3m4!1sESWmhMS0FZQ0elZMfTGvMw!2e0!7i13312!8i6656]
 +
* Tipos de travessia de pedestre no Reino Unido [https://www.driving-school-beckenham.co.uk/pedestriancrossings.html]
 +
 
 +
;Serão dadas duas bonificações no projeto:
 +
1) ('''BONUS 1 ponto''') para a equipe que desenvolver a melhoria que for considerada a melhor pelo cliente.
 +
2) ('''BONUS 1 ponto''') para a equipe que usar o menor número de componentes no projeto (menor custo).
 +
{{collapse bottom}}
 +
-->
 +
 
 +
====Links uteis para entender o projeto:====
 +
*[https://www.sciencedirect.com/topics/engineering/stop-bit artigo explicando o que é a transmissão serial.]
 +
*[https://ars.els-cdn.com/content/image/3-s2.0-B9780128006290000255-f25-03-9780128006290.jpg?_ Exemplo de transmissor e receptor assincrono]
 +
*[https://books.google.com.br/books?id=2YgnCgAAQBAJ&pg=PA93&dq=stop+bits&hl=pt-BR&sa=X&ved=0ahUKEwj-luWexeniAhW9FLkGHUslAV8Q6AEISTAE#v=onepage&q=start%20bits&f=false Raspberry Pi Hardware Reference, Warren Gay] pag. 92-94
 +
 
 +
===Atividades Extraclasse (AE)===
 +
*Entrega dos Atividades Extraclasse  ao longo do semestre AE(0) a AE(N). A entrega, detalhes e prazos serão indicados na plataforma Moodle.
 +
<!--
 +
{{collapse top |  AE0 - Resumo expandido de artigo}}
 +
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas:
 +
:: OU [[Media:Artigo_DLP_Kamila2013.pdf | Dispositivos Lógicos Programáveis]] de Kamila Rose da Silva, IFSC.
 +
:: OU [http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.3122 Kuon, Ian Carlos, Russell Tessier, and Jonathan Rose. 2008. “FPGA Architecture: Survey and Challenges.” Foundations and Trends in Electronic Design Automation 2 (2): 135–253],[http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.153.3122&rep=rep1&type=pdf].
 +
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 +
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br Plataforma Moodle de DLP29006], dentro do prazo indicado.
 +
{{collapse bottom}}
 +
 
 +
{{collapse top | AR3 - operações de SOMA ou SUBTRAÇÃO ou PRODUTO ou DIVISÃO}}
 +
*Implementar em VHDL uma unidade de processamento que efetue as operações de SOMA ou SUBTRAÇÃO ou PRODUTO ou DIVISÃO, considerando valores SIGNED. As características do circuito são:
 +
:* As portas de entrada e saída são todas do tipo STD_LOGIC(_VECTOR).
 +
:* As entradas <math> a </math> e <math> b </math> devem ter N bits, e a saída <math> r </math> N+1 bits.
 +
:* O circuito tem uma entrada <math> op </math>, a qual define o tipo de operação que deve ser feita entre as entradas <math> a </math> e <math> b </math>, disponibilizando na saída o resultado dessa operação. <math> op = 00 </math> indica SOMA (a+b),  <math> op = 01 </math> indica SUBTRAÇÃO (a-b), <math> op = 10 </math> indica PRODUTO (a*b),  <math> op = 11 </math> indica DIVISÃO (a/b).
 +
* Faça a simulação funcional do circuito, testando o circuito usando no mínimo com
 +
:<math> {op = 00, a = valor+, b = valor+} </math>,
 +
:<math> {op = 01, a = valor+, b = valor+} </math>,
 +
:<math> {op = 10, a = valor+, b = valor+} </math>,
 +
:<math> {op = 11, a = valor+, b = valor+} </math>,
 +
onde <math> valor+ , valor- </math> são respectivamente valores numéricos positivos e negativos.
 +
* Note que alguns valores de entrada ainda pode ocorrer erro, mesmo tendo um bit a mais na saída.  Em que situação isso pode ocorrer? Mostre uma situação em que isso ocorre na simulação.
 +
:* O circuito pode ter uma saída <math> erro </math> adicional que indica quando o resultado tem erro.
 +
 
 +
{{collapse bottom}}
 +
-->
 +
<!--
 +
{{collapse top |AE0 - Resumo estendido de Artigo (Entrega e Prazo ver Moodle)}}
 +
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas [https://www.dropbox.com/s/wvl3cudgcpchstr/ARTIGO_ACADEMICO_DLP.pdf?dl=0 Dispositivos Lógicos Programáveis] de Kamila Rose da Silva, IFSC.
 +
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 +
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 +
{{collapse bottom}}
 +
 
 +
{{collapse top | AE1 - Uso de código concorrente - ALU modificada (Entrega e Prazo ver Moodle)}}
 +
*Implemente em VHDL uma Unidade de Lógica e Aritmética que tem como entrada os sinais A e B e que execute as seguintes instruções lógicas e aritméticas conforme o '''opcode''' de entrada:
 +
 
 +
;Unidade Lógica:
 +
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
 +
! scope="col" width=25% align="center"| Instrução
 +
! scope="col" width=25% align="center"| Operação
 +
! scope="col" width=25% align="center"| opcode
 +
! scope="col" width=25% align="center"| GRUPOS
 +
|-
 +
| Complemento de A || Y = not A || 0000 || 1, 2, 3
 +
|-
 +
| Complemento de B || Y = not B || 0001 || 4, 5, 6
 +
|-
 +
| And || Y = A nand B || 0010 || 1, 3
 +
|-
 +
| Nand || Y = A and B || 0011 || 2, 4
 +
|-
 +
| Or || Y = A nor B || 0100 || 5, 6
 +
|-
 +
| Nor || Y = A or B || 0101 || 1, 4
 +
|-
 +
| Xor || Y = A xor B || 0110 || 2, 5
 +
|-
 +
| Xnor || Y = A xnor B || 0111 || 3, 6
 +
|}
 +
 
 +
;Unidade Aritmética: (onde os valores de A e B podem ser positivos ou negativos)
 +
 
 +
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
 +
! scope="col" width=25% align="center"| Instrução
 +
! scope="col" width=25% align="center"| Operação
 +
! scope="col" width=25% align="center"| opcode
 +
! scope="col" width=25% align="center"| GRUPOS
 +
|-
 +
| Transfere A || Y = A || 1000 || 1, 2, 3, 4, 5, 6
 +
|-
 +
| Transfere B || Y = B || 1001 || 1, 2, 3, 4, 5, 6
 +
|-
 +
| complemento-2 de A || Y = -A || 1010 || 1, 2, 3
 +
|-
 +
| complemento-2 de B || Y = -B || 1010 || 4, 5, 6
 +
|-
 +
| Incrementa A || Y = A + 1 || 1011 || 1, 4
 +
|-
 +
| Decrementa A || Y = A - 1 || 1011 || 2, 5
 +
|-
 +
| Incrementa B || Y = B + 1 || 1100 || 3, 6
 +
|-
 +
| Soma A e B|| Y = A + B || 1101 || 1, 2
 +
|-
 +
| Subtrai B de A|| Y = A - B || 1110 || 3, 4
 +
|-
 +
| Subtrai A de B|| Y = B - A || 1110 || 5, 6
 +
|-
 +
| Dobra o valor A || Y = A * 2 || 1111 || 1, 2, 3
 +
|-
 +
| Dobra o valor B || Y = B * 2 || 1111 || 4, 5, 6
 +
|}
 +
* A ENTITY deverá ter a seguinte interface:
 +
<syntaxhighlight lang=matlab>
 +
ENTITY alu IS
 +
GENERIC (N: INTEGER := 4); --word bits
 +
PORT (
 +
A, B:  IN  STD_LOGIC_VECTOR (N-1 DOWNTO 0);
 +
OPCODE: IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
 +
        ERRO:  OUT STD_LOGIC;
 +
Y:      OUT STD_LOGIC_VECTOR (N-1 DOWNTO 0));
 +
END ENTITY;
 +
</syntaxhighlight>
 +
* Verifique qual é o seu grupo, você apenas precisa implementar e simular as funções indicadas para o ele.
 +
* Proponha uma ARCHITECTURE que implemente a ALU (Dica: utilize internamente o tipo de dado tipo '''integer''' ou do tipo "SIGNED").
 +
* Se na operação realizada o resultado em '''Y''' não estiver correto, a saída '''ERRO''' deve ser setada.
 +
* Anote o número de Elementos Lógicos (ou ALUTs) utilizados [Compilation Report > Flow Summary].
 +
* Verifique o código RTL gerado [Tools > Netlist Viewers > RTL Viewer]
 +
* Faça a simulação funcional no QSIM  usando os valores mínimos e máximos e outros pares de valores representáveis e verifique se o resultado das operações está correto. Teste cada instrução (opcode) em separado.  Analise os resultados obtidos para entradas com 4 bits e verifique os casos em que há ''ERRO''.
 +
* Escreva um relatório de no '''máximo 15''' páginas contendo: título, identificação, introdução, o desenvolvimento da atividade e resultados, conclusão.  O relatório deve conter as simulações funcionais feitas e a análise dos resultados obtidos. Também é fundamental relate o número de elementos lógicos necessários, o tipo de FPGA utilizado, e o máximo tempo de propagação deste circuito.
 +
* Salve a imagem do circuito RTL e envie com arquivo .'''PNG'''.
 +
* A entrega do relatório em .'''PDF''' e do arquivo .'''QAR''' e .'''PNG''' deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 +
{{collapse bottom}}
 +
 
 +
{{collapse top | expand = true | APF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)}}
 +
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono  mostrado na figura abaixo:
 +
<center>
 +
[[Arquivo:APF_DLP29006_2017_2_Serial_Assincrono.png | 600 px]]
 +
 
 +
'''Figura 1. Sistema de Comunicação Serial Assíncrono com Endereçamento de A para B'''
 +
 
 +
[[Arquivo:APF_DLP29006_2017_2_UART_TX.png | 600 px]]
 +
 
 +
'''Figura 2. Interface transmissora serial'''
 +
 
 +
[[Arquivo:APF_DLP29006_2017_2_UART_RX.png | 600 px]]
 +
 
 +
'''Figura 3. Interface receptora serial'''</center>
 +
 
 +
* As Taxa de Transmissão deverão ser geradas a partir do clock principal '''CLK50MHz''' do kit a ser utilizado.
 +
* A seleção da Taxa de Transmissão será feita através das chaves '''SW_BAUD_TX'''[1..0] para o transmissor e das '''SW_BAUD_RX'''[1..0] para o receptor.
 +
::00 => 0.1 bps, 01 => 10 bps, 10 => 1 kbps, 11 => 100 kbps.
 +
* Na transmissão deverá ser enviado um bit paridade (par ou impar) de acordo com a chave seletora '''SW_PARITY'''.
 +
:: 0 => paridade par, 1 => paridade impar.
 +
*No receptor a saída '''RX_ERROR''' deve ser usada para indicar que houve erro na recepção do dado.
 +
 
 +
<center>
 +
[[Arquivo:APF_DLP29006_2017_2_times.png | 900 px]]
 +
</center>
 +
 
 +
* O sistema no seu '''TOP LEVEL''' deverá ser constituído de um '''Conversor Paralelo Serial''', um '''Conversor Serial Paralelo''', dois '''Geradores de Baud Rate''', um '''Gerador de Paridade''', um '''Detector de Paridade''', um '''Detector de Número de Destino''', um '''Circuito de Entrada de Dados''', e um '''Circuito de Saída de Dados'''.
 +
 
 +
* O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentes.  Recomenda-se utilizar também componentes para a criação dos circuitos no segundo nível.  O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
 +
 
 +
* Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para 100kHz de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM, sendo a última obrigatoriamente feita usando testbench em VHDL.
 +
 
 +
* O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 (ou Mercúrio IV) usando as chaves e leds disponíveis (ou pinos da GPIO para acionar circuitos externos).
 +
 
 +
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
 +
 
 +
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
 +
 
 +
* Para entender o sistema de transmissão recomenda-se consulte materiais complementares sobre [https://en.wikipedia.org/wiki/Asynchronous_serial_communication Comunicação serial assíncrona], [https://en.wikipedia.org/wiki/Parity_bit bit de paridade], [https://en.wikipedia.org/wiki/Universal_asynchronous_receiver-transmitter UART], [https://en.wikipedia.org/wiki/Bit_rate Taxa de bits].
 +
 
 +
{{collapse bottom}}
 +
-->
 +
 
 +
===Estudos livres sem entrega de documentação (EL)===
 +
*Os estudos livres são fortemente recomendados aos alunos como forma de melhor compreender o assunto estudado em cada unidade.  Nas listas de exemplos e exercícios, os essenciais estão destacados em negrito. Não há prazos nem entregas desses estudos no Moodle, mas pede-se que os alunos realizem esses estudos e tirem suas dúvidas nas aulas destinadas a resolução de exercícios, ou nos minutos iniciais  das aulas.
 +
<!--
 +
{{collapse top | EL1 - Resolução dos exercícios do Cap 2}}
 +
*Resolva os exercícios do capítulo 2 (1, 2, 3) pag. 28 a 30
 +
;Exercise 2.1: Multiplexer:
 +
 
 +
*Complete o código VHDL abaixo para que ele seja correspondente a um multiplexador que selecione a entrada A quando sel ="01", B quando sel ="10", coloque "0...0" na saída quando sel ="00" e mantenha a saída em alta impedância "Z...Z" quando sel="11".
 +
::*Compile o código e em seguida faça a simulação, para verificar se o circuito funciona conforme
 +
especificado. 
 +
::*Anote as mensagens de warning do compilador.
 +
 
 +
<syntaxhighlight lang=vhdl>
 +
---------------------------------------
 +
-- File: mux.vdh
 +
---------------------------------------
 +
-- Declaração das Bibliotecas e Pacotes
 +
--
 +
LIBRARY ieee;
 +
USE _________________________ ;
 +
 
 +
---------------------------------------
 +
-- Especificação das entradas e saídas e nome da ENTITY
 +
ENTITY mux IS
 +
   PORT (  
 +
   __ , __ : ___ STD_LOGIC_VECTOR (7 DOWNTO 0);
 +
   sel : IN ____________________________ ;
 +
   ___ : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
 +
END _____ ;
 +
---------------------------------------
 +
ARCHITECTURE example OF _____ IS
 
BEGIN
 
BEGIN
   PROCESS (a, b, ____ )
+
   PROCESS (a, b, ____ )
   BEGIN
+
   BEGIN
     IF (sel = "00") THEN
+
     IF (sel = "00") THEN
       c <= "00000000";
+
       c <= "00000000";
     ELSIF (__________) THEN
+
     ELSIF (__________) THEN
       c <= a;
+
       c <= a;
     _____ (sel = "10") THEN
+
     _____ (sel = "10") THEN
       c <= __;
+
       c <= __;
     ELSE
+
     ELSE
       c <= (OTHERS => '__');
+
       c <= (OTHERS => '__');
     END ___ ;
+
     END ___ ;
   END _________ ;
+
   END _________ ;
END _________ ;
+
END _________ ;
---------------------------------------
+
---------------------------------------
</syntaxhighlight>
+
</syntaxhighlight>
 +
{{collapse bottom}}
 +
-->
 +
 
 +
{{collapse top | EL2 - Resolução dos exercícios do Cap 3}}
 +
*Resolva os exercícios do capítulo 3 (1, 2, '''9''', 11, 12, 13, 14-17, '''18-20''', '''22''', 23-30) pag. 81 a 89
 +
{{collapse bottom}}
 +
 
 +
{{collapse top | EL3 - Resolução dos exercícios do Cap 4}}
 +
*Resolva os exercícios do capítulo 4 (4-8, '''9''', 10-11, 13, 15-16, '''17''' ) pag. 115 a 120
 +
{{collapse bottom}}
 +
 
 +
{{collapse top | EL4 - Resolução dos exercícios do Cap 5}}
 +
*Resolva os exercícios do capítulo 5 (1, 2, '''3''', 4, '''6''', '''7''', 8-9, '''10-11''', '''14-16''', 17-18, '''19''' ) pag. 144 a 150
 +
{{collapse bottom}}
 +
 
 +
{{collapse top | EL6 - Resolução dos exercícios do Cap 6}}
 +
*Resolva os exercícios do capítulo 6 (1, '''2''', 3-4, '''5''', 6-7, '''9*''', '''10-11''', 12*, 13*, '''14''', 15*) pag. 172 a 176.
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top | EL2 - Resolução dos exercícios do Cap 3}}
+
{{collapse top | EL7 - Resolução dos exercícios do Cap 7}}
*Resolva os exercícios do capítulo 3 (1, 2, '''9''', 11, 12, 13, 14-17, '''18-20''', '''22''', 23-30) pag. 81 a 89
+
*Resolva os exercícios da capítulo 7 (9-10) pag. 197.
{{collapse bottom}}
 
 
 
{{collapse top | EL3 - Resolução dos exercícios do Cap 4}}
 
*Resolva os exercícios do capítulo 4 (4-8, '''9''', 10-11, 13, 15-16, '''17''' ) pag. 115 a 120
 
{{collapse bottom}}
 
 
 
{{collapse top | EL4 - Resolução dos exercícios do Cap 5}}
 
*Resolva os exercícios do capítulo 5 (1, 2, '''3''', 4, '''6''', '''7''', 8-9, '''10-11''', '''14-16''', 17-18, '''19''' ) pag. 144 a 150
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top | EL6 - Resolução dos exercícios do Cap 6}}
+
{{collapse top | EL8 - Resolução dos exercícios do Cap 8}}
*Resolva os exercícios do capítulo 6 (1, '''2''', 3-4, '''5''', 6-7, '''9*''', '''10-11''', 12*, 13*, '''14''', '''15''') pag. 172 a 176.
 
{{collapse bottom}}
 
 
 
{{collapse top | EL7 - Resolução dos exercícios do Cap 8}}
 
 
*Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
 
*Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top | EL8 - Resolução dos exercícios do Cap 9}}
+
{{collapse top | EL9 - Resolução dos exercícios do Cap 9}}
 
*Resolva os exercícios da capítulo 9 (1-4, 6-9) pag. 238 a 239.
 
*Resolva os exercícios da capítulo 9 (1-4, 6-9) pag. 238 a 239.
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top | EL9 - Resolução dos exercícios do Cap 10}}
+
{{collapse top | EL10 - Resolução dos exercícios do Cap 10}}
*Resolva os exercícios da capítulo 10 (1,2,5,6,7, 10-15, 17**) pag. 271 a 274.
+
*Resolva os exercícios da capítulo 10 (1,2,5-7, 10-15, 17**) pag. 271 a 274.
 
:*Na simulação de cada exercício, faça um versão usando comandos force e run e outra com testbench em vhdl.
 
:*Na simulação de cada exercício, faça um versão usando comandos force e run e outra com testbench em vhdl.
 
{{collapse bottom}}
 
{{collapse bottom}}
-->
 
  
 
==Referências Bibliográficas:==
 
==Referências Bibliográficas:==

Edição atual tal como às 15h16min de 28 de junho de 2019

MURAL DE AVISOS E OPORTUNIDADES DA ÁREA DE TELECOMUNICAÇÕES


Registro on-line das aulas

Unidade 1 - Introdução a disciplina

Unidade 1 - Introdução a disciplina

  • 2 AULAS
Aula 1 (11 fev)
  • Introdução aos dispositivos lógicos programáveis:
  • Conceito, tipos de PLDs
  • SPLD: PAL, PLA e GAL
  • CPLDs
Exemplos de PLDs
Ep310 macrocell.jpg
Figura 1.1 - Macrobloco do PLD EP300 da ALTERA
FONTE: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html
Macrocell EP900.png
Figura 1.2 - Macrocélula dos PLDs Clássicos EP600, EP900, EP1800 da ALTERA (1999)
FONTE: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf
Ep1800 block diagram.jpg Ep1800 block diagram2.jpg
Figura 1.3 - Architetura do PLD EP1800 da ALTERA
FONTE: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html
FONTE: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf
PackagePinOut EP1810.png ChipEP1810.png
Figura 1.5 - Pinagem e tipos de encapsulamento do PLD EP1800 da ALTERA
FONTE: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf
FONTE: multisound_tahiti.jpeg
Max 5000 architecture.jpg
Figura 1.6 - Architetura do CPLD MAX 5000 da ALTERA
FONTE: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html
  • Preços
  • Fabricantes de DLPs/FPGAs e familias de DLPs atuais.
Aula 2 (15 fev)
  • Ler pag. 413 a 431 de [1] ou pag. 495 a 501 de de [2].
Leituras complementares para a unidade
  • Historia, processo de produção dos chips.
Curiosidades do mundo digital
Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS

Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS

  • 3 AULAS
Aula 3 (15 fev)
  • Introdução ao VHDL e ambiente EDA - QUARTUS
  • Estrutura do código VHDL
  • Declaração das bibliotecas e pacotes LIBRARY / PACKAGE

library library_name;
use library_name.package)name.all;

  • ENTITY

entity entity_name is
  [generic (
    cons_name1: const_type const_value;
    cons_name2: const_type const_value;
    ...
    cons_nameN: const_type const_value);]
  [port (
    signal_name1: mode signal_type;
    signal_name2: mode signal_type;
    ...
    signal_nameN: mode signal_type);]
  [declarative_part]
[begin
  statement_part]
end [entity] [entity_name];

  • ARCHITECTURE

architecture arch_name of entity_name is
  [declarative_part]
begin
  statement_part
end [architecture] [arch_name];

  • Exemplo - Declaração de uma porta NAND em VHDL
library std;
use std.standard.all;

entity nand_gate is
	port (a, b: in bit; x: out bit);
end entity;

architecture nome_arch of nand_gate is
begin
	x <= a nand b;
end architecture;


  • Exemplo 2.2 (VHDL) - programação de um flip-flop
 -- Declaração das bibliotecas e pacotes
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

 -- Especificação de todas as entradas e saídas do circuito
 ENTITY flip_flop IS
  PORT (d, clk, rst: IN STD_LOGIC;
   q: OUT STD_LOGIC);
 END;
  
 -- Descrição de como o circuito deve funcionar
 ARCHITECTURE flip_flop OF flip_flop IS
 BEGIN
  PROCESS (clk, rst)
  BEGIN
   IF (rst='1') THEN
    q <= '0';
   ELSIF (clk'EVENT AND clk='1') THEN
    q <= d;
   END IF;
  END PROCESS;
 END;
RTL Ex2 2 Pedronib.png
Figura 2.2 - Código RTL do Exemplo 2.2
  • Use o Technology Map Viewer para ver a como o circuito foi mapeado para os elementos lógicos disponíveis no dispositivo FPGA selecionado (EP1C3T100A8)
TM Ex2 2 Pedronib.png
Figura 2.3 - Technology Map do Exemplo 2.2
ChipPlanner Ex2 2 Pedronib.png
Figura 2.4 - Chip Planner do Exemplo 2.2
Aula 4 (18 fev)
  • Uso de alguns sites auxiliares para a programação em VHDL:
  • Exemplo de um contador em VHDL. COUNTER na página de VHDL da Wikipedia.
  • Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal; observar os atrasos de propagação na simulação temporal.
  • Uso das bibliotecas no VHDL.
  • Library std
O Package standard: é parte do VHDL desde a primeira versão (1987). Ela contem definição de tipos de dados (BIT, INTEGER, BOOLEAN, CHARACTER, etc.) e seus operadores logicos, aritméticos, de comparação e shift.
O Package textio fornece os recurso para o tratamento de textos e arquivos, que podem ser utilizados na simulação.
  • Como declarar e usar os pacotes da biblioteca std.
Note que esses pacotes são implicitamente carregados não precisando ser declarados. Ambos pacotes foram expandidos no VHDL 2008.
-- NAO É NECESSARIO DECLARAR
library std;
use std.standard.all;
use std.textio.all;
  • Onde estão os arquivos dessa biblioteca na versão Quartus II instalada nos computadores do IFSC e na IFSC_CLOUD?
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/std
  • Library ieee
O Package std_logic_1164 define os tipos de dados STD_ULOGIC e STD_LOGIC.
O Package numeric_std define os tipos de dados SIGNED e UNSIGNED e seus operadores considerando o tipo STD_LOGIC como base.
O Package numeric_bit define os tipos de dados SIGNED e UNSIGNED e seus operadores considerando o tipo BIT como base.
O Package numeric_std_unsigned introduz operadores sobre o tipo STD_LOGIC_VECTOR, considerando os como números sem sinal.
O Package numeric_bit_unsigned introduz operadores sobre o tipo BIT_VECTOR, considerando os como números sem sinal.
O Package fixed_pkg (e pacotes associados) definem os tipos de ponto fixo unsigned (UFIXED) e signed (SFIXED) e seus operadores.
O Package float_pkg (e pacotes associados) definem o tipo de ponto flutuante (FLOAT) e seus operadores.
  • Pacotes não padronizados (NÃO UTILIZAR)
O Package std_logic_arith define os tipos de dados SIGNED e UNSIGNED e seus operadores. Deve ser sempre substituído por pelo pacote padrão equivalente numeric_std. (disponível da Mentor e Synopsys)
O Package std_logic_unsigned é semelhante ao numeric_std_unsigned. (disponível da Synopsys)
O Package std_logic_signed é semelhante ao acima operando sobre números com sinal. (disponível da Synopsys)
  • Como declarar e usar os pacotes da biblioteca ieee.
library ieee;
-- UTILIZAR ESTES PACOTES
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

-- NAO UTILIZAR ESTES PACOTES
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_unsigned.all;
  • Onde estão os arquivos dessa biblioteca na versão Quartus II versão 13.0sp1 instalada nos computadores do IFSC e na IFSC_CLOUD?
Os pacotes padrão:
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/ieee
Os pacotes não padrão:
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/mentor/arithmetic  (Mentor Graphics)
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/synopsys/ieee (Synopsys)
  • Os arquivos dessa biblioteca do padrão (versão 2008) estão apenas disponíveis a partir do Quartus II versão 16.0 instalado na IFSC_CLOUD?
ls /opt/altera/16.0/quartus/libraries/vhdl/ieee/2008
Aula 5 (22 fev)
  • Introdução ao VHDL e ambienta EDA - QUARTUS
  • Estrutura do código VHDL
  • Modifique o circuito do flip-flop para que ele passe a ter 4 flip-flops, e realize as simulações funcional e temporal do circuito.
RTL 4FF.png
Figura 2.5 - RTL 4 FF
SIM1 4FF.png
Figura 2.6 - Simulação Funcional de 4 FF 100ns
SIM2 4FF.png
Figura 2.7 - Simulação Temporal de 4 FF 100ns

Note que na simulação funcional a mudança da saída Q ocorre no instante em que ocorre a borda de subida do clock ou no momento do reset. No entanto, no caso da simulação com timing, existe um atraso de ~6ns nestas mudanças.

IMPORTANTE: Na prática normalmente não é necessário fazer a simulação temporal, pois através do Time Quest Report é possivel verificar se o circuito atende as restrições de tempo.

  • Para definir as restrições de tempo do clock por exemplo, pode ser adicionado um arquivo .sdc ao projeto definindo a frequencia do clock esperada através da seguinte linha:
create_clock -name CLK50MHz -period 50MHz [get_ports {*}]


Aula 6 (25 fev)
  • Exemplo 2.3 (VHDL e QSIM) - programação de um circuito somador com registrador
Realizar as simulações funcional e temporal do circuito
Observar o "Technology Map" e o "RTL" do circuito
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

 ENTITY registered_comp_add IS
  PORT (clk: IN STD_LOGIC;
   a, b: IN INTEGER RANGE 0 TO 7;
   reg_comp: OUT STD_LOGIC;
   reg_sum: OUT INTEGER RANGE 0 TO 15);
 END;

 ARCHITECTURE circuit OF registered_comp_add IS
  SIGNAL comp: STD_LOGIC;
  SIGNAL sum: INTEGER RANGE 0 TO 15;
 BEGIN
  comp <= '1' WHEN a>b ELSE '0';
  sum <= a + b;
  PROCESS (clk)
  BEGIN
   IF (clk'EVENT AND clk='1') THEN
    reg_comp <= comp;
    reg_sum <= sum;
   END IF;
  END PROCESS;
 END;
Acrescente saídas para o sinal sum e para o sinal comp, de modo a poder observar estes sinais no simulador QSIM e realize novas simulações funcional e temporal.
RTL Ex2 3 Pedronib.png
Figura 2.8 - Código RTL do Exemplo 2.3

Note que na simulação funcional a mudança da saída Q ocorre no instante em que ocorre a borda de subida do clock ou no momento do reset. No entanto, no caso da simulação com timing, existe um atraso nestas mudanças.

IMPORTANTE: Na prática normalmente não é necessário fazer a simulação temporal, pois através do Time Quest Report é possivel verificar se o circuito atende as restrições de tempo.

  • Para definir as restrições de tempo do clock por exemplo, pode ser adicionado um arquivo .sdc ao projeto definindo a frequencia do clock esperada através da seguinte linha:
create_clock -name CLK50MHz -period 50MHz [get_ports {*}]
ver Tutorial do QSIM - Introduction to Simulation of VHDL Designs da ALTERA.
Ver pag. 3 a 24 de [2]
Unidade 3 - Tipos de Dados e Operadores em VHDL

Unidade 3 - Tipos de Dados e Operadores em VHDL

  • 7 AULAS
Aula 7 (28 fev)
  • Comentários no código (duplo traço --)
-- Isso eh uma linha de comentario
y <= a * b ; --o sinal y recebe o resultado da multiplicacao a x b 
  • Representação de números e caracteres em VHDL.
  • Caracteres
caracter:  'A' 'x' '#' (com aspas simples)
string de caracteres: "IFSC" "teste" "teste123"
  • Números em geral
bit único:  '0' '1' 'Z' (com aspas simples)
vetor de bits: "0110"  "101001Z" (com aspas duplas)
vetor de 1 bit: "0" "1" (com aspas duplas)
inteiros: 5 1101 1102  (sem aspas)
  • Números binários:
0 -> '0'
7 -> "0111" ou b"0111" ou B"0111"
1023 -> "001111111111" ou b"1111111111"  ou B"1111111111" 
  • Números octais:
44   ->  5*8^1 + 4*8^0  -> O"54" ou o"54"
1023 ->  1*8^3 + 7*8^2 + 7*8^1 + 7*8^0 -> o"1777" 8#1777#
  • Números Hexadecimais:
1023 -> 3*16^2 + 15*16^1 + 15*16^0 = X"3FF" ou x"3FF" 16#3FF#
  • Números decimais:
1023 -> 1023 ou 1_023
1000 -> 1000 ou 1_000 ou 1E3
  • Números em outras bases (de 2 a 16)
5#320# (3*5^2 + 2*5^1 + 0*5^0) -> 85
3#201#E4 (2*3^2+0*3^1+1*3^0)*3^4 -> 1539
  • Tipos de Dados em VHDL.
  • Objetos de VHDL: CONSTANT, SIGNAL, VARIABLE, FILE.
  • Palavra chave OTHERS
Ver pag. 31 a 35 de [2]
  • ATENÇÃO!!! Não use as bibliotecas que não são padrão (std_logic_arith, std_logic_unsigned, std_logic_signed)
  • Ler e guardar a página sobre Aritmética com vetores em VDHL
  • Classificação dos tipos de dados.
  • Tipos de dados: BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, STRING, STD_(U)LOGIG, STD_(U)LOGIG_VECTOR
	package standard is
	type boolean is (false,true); 
	type bit is ('0', '1');
	type severity_level is (note, warning, error, failure); 
	type integer is range -2147483647 to 2147483647; 
	type real is range -1.0E308 to 1.0E308; 
	type time is range -2147483648 to 2147483647 
		units 
			fs;
			ps = 1000 fs;
			ns = 1000 ps;
			us = 1000 ns; 
			ms = 1000 us; 
			sec = 1000 ms; 
			min = 60 sec; 
			hr = 60 min; 
		end units;
	subtype natural is integer range 0 to integer'high; 
	subtype positive is integer range 1 to integer'high; 
	type string is array (positive range <>) of character; 
	type bit_vector is array (natural range <>) of bit;
	PACKAGE std_logic_1164 IS
	TYPE std_ulogic IS ( 'U',  -- Uninitialized
                         'X',  -- Forcing  Unknown
                         '0',  -- Forcing  0
                         '1',  -- Forcing  1
                         'Z',  -- High Impedance   
                         'W',  -- Weak     Unknown
                         'L',  -- Weak     0       
                         'H',  -- Weak     1       
                         '-'   -- Don't care
                       );
	TYPE std_ulogic_vector IS ARRAY ( NATURAL RANGE <> ) OF std_ulogic;
	SUBTYPE std_logic IS resolved std_ulogic;
	TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;
  • Resumo dos Tipos predefinidos.
Tipo de Dado Package Library Valores Observações
BOOLEAN standard std TRUE e FALSE sintetizável
BIT standard std valores '0', '1' sintetizável
INTEGER standard std números inteiros de 32 bits [de -2^31 até + (2^31 - 1)] sintetizável
NATURAL standard std números inteiros não negativos [de 0 até + (2^31 - 1)] sintetizável
POSITIVE standard std números inteiros positivos [de 1 até + (2^31 - 1)] sintetizável
BOOLEAN_VECTOR standard (2008) std vetor de BOOLEAN sintetizável
BIT_VECTOR standard std vetor de BIT sintetizável
INTEGER_VECTOR standard std vetor de INTEGER sintetizável
REAL standard std números reais [de -1.0E-38 até + 1.0E-38] simulação
CHARACTER standard std caracteres ASCII
STRING standard std vetor de CHARACTER
STD_LOGIC std_logic_1164 ieee valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-' sintetizável
STD_LOGIC_VECTOR std_logic_1164 ieee vetor de STD_LOGIC sintetizável
SIGNED numeric_std ieee STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal sintetizável
UNSIGNED numeric_std ieee STD_LOGIC_VECTOR que aceitam operações aritméticas sintetizável
SIGNED numeric_bit ieee BIT_VECTOR que aceitam operações aritméticas com sinal sintetizável
UNSIGNED numeric_bit ieee BIT_VECTOR que aceitam operações aritméticas sintetizável
SIGNED std_logic_arith ieee STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal sintetizável (não é padrão)
UNSIGNED std_logic_arith ieee STD_LOGIC_VECTOR que aceitam operações aritméticas sintetizável (não é padrão)
UFIXED fixed_pkg + (2008) ieee números de ponto fixo sem sinal sintetizável
SFIXED fixed_pkg + (2008) ieee números de ponto fixo com sinal sintetizável
FLOAT float_pkg + (2008) ieee Números de ponto flutuante sintetizável
  • Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)


Ver pag. 39 a 54 de [2]
Aula 8 (1 mar)
  • Tipos de Dados em VHDL (continuação)
  • Exemplo 3.1 Buffer Tri-state
Buffer tri_state
library ieee;
use ieee.std_logic_1164.all;

entity tri_state is
  generic (N: NATURAL := 1);
  port 
  (
    input      : in std_logic_vector(N-1 downto 0);
    ena        : in std_logic;
    output     : out std_logic_vector(N-1 downto 0);
  );
end entity;

architecture tri_state of tri_state is
begin
  output <= input when ena = '1' else "Z";
end architecture;
Importante: O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O.
  • Exemplo 3.2 Circuito com Saida "don't care"
Saída don't care
library ieee;
use ieee.std_logic_1164.all;

entity Ex3_2 is
  port 
  (
    x : in STD_LOGIC_VECTOR(1 downto 0);
    y : out STD_LOGIC_VECTOR(1 downto 0)
  );
end entity;

architecture un3 of Ex3_2 is
begin
  y <= "00" when x = "00" else
       "01" when x = "10" else
       "10" when x = "01" else
       "--";
end architecture;
  • Tipos de dados: SIGNED e UNSIGNED
  • Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
Código Multiplicador
--LIBRARY ieee;
--USE ieee.numeric_std.all;

ENTITY multiplicador4x4 IS
-- multiplicador usando UNSIGNED
-- PORT (a, b: IN UNSIGNED(3 DOWNTO 0);    -- min(a) = 0; max(a) = 15       <- 4 bits
-- y: OUT UNSIGNED(7 DOWNTO 0));           -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando SIGNED
-- PORT (a, b: IN SIGNED(3 DOWNTO 0);      -- min(a) = -8; max(a) = 7       <- 4 bits 
-- y: OUT SIGNED(7 DOWNTO 0));             -- min(a*b) = -56, max(a*b) = 64 -> 8 bits

-- multiplicador usando INTEGER (positivos)
-- PORT (a, b: IN INTEGER RANGE 0 TO 15;   -- min(a) = 0; max(a) = 15       -> 4 bits
-- y: OUT INTEGER RANGE 0 TO 225);         -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando INTEGER (positivos e negativos)
-- PORT (a, b: IN INTEGER RANGE -8 TO 7;   -- min(a) = -8; max(a) = 7       -> 4 bits
-- y: OUT INTEGER RANGE -56 TO 64);        -- min(a*b) = -56, max(a*b) = 64 -> 8 bits 
END ENTITY;

ARCHITECTURE v1 OF multiplicador4x4 IS
BEGIN
 y <= a * b;
END ARCHITECTURE;
  • Observar o número de elementos lógicos, bits usados para representar as entradas e saídas.
  • Observar o código RTL obtido.
  • Realizar a simulação com entradas UNSIGNED e INTEGER na faixa de valores de 0 até 15, e analisar se o valor da saída está correto.
  • Realizar a simulação com entradas SIGNED e INTEGER na faixa de valores de -8 até 7, e analisar se o valor da saída está correto.
Aula 9 e 10 (8 e 11 mar)
  • Tipos definidos pelo usuário:
  • Escalares (Inteiros e Enumerados)
  • Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D
Exemplos Ex 3.5, Ex 3.6 e Ex 3.7
  • RECORD e SUBTYPE
  • Uso de ARRAYs em portas
  • Declaração do TYPE em PACKAGE
  • Exemplo 3.8: Multiplexador com porta 1D x 1D.::

Ver pag. 60 a 73 de [2]

  • Desafio - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 5 vagas. Cada entrada x(n) está alta '1' se a vaga está vazia. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias.
Importante: O don't care não funciona como se espera para uma entrada, por isso, use don't care apenas para saídas.
x = "1----" -- não funciona em VHDL
  • Se quiser mesmo usar don't care em entradas use a função std_match do pacote numeric_std
std_match(x, "1----") -- funciona em VHDL
  • Faça a simulação do circuito para ver se está funcionando,

Vagas5.png


Aula 11 (14 mar)
  • Qualificação de tipos, conversão de tipos (automática, casting e funções de conversão).
  • Resumo das funções de conversão de tipos (Tabela 3.10) e ver Aritmética com vetores em VDHL
  • Exercicio: Multiplicador/Divisor/Somador/Subtrator com sinal e sem sinal (entradas do tipo STD_LOGIC VECTOR)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
 
ENTITY operadores IS
PORT (
		a, b: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		mult: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
		div: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
		sum: OUT STD_LOGIC_VECTOR(? DOWNTO 0);		
		sub: OUT STD_LOGIC_VECTOR(? DOWNTO 0);		
     );
END ENTITY;
 
ARCHITECTURE type_conv_arch OF operadores IS
BEGIN
  -- Inserir o código e definir o tamanho das saidas.
END ARCHITECTURE;
Ver função resize
Ver pag. 73 a 78 de [2]


Aula 12 (22 mar)

OBS: uso da função resize(object,size)

--============================================================================
  --   RESIZE Functions
  --============================================================================
 
  -- Id: R.1
  function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED;
  -- Result subtype: SIGNED(NEW_SIZE-1 downto 0)
  -- Result: Resizes the SIGNED vector ARG to the specified size.
  --         To create a larger vector, the new [leftmost] bit positions
  --         are filled with the sign bit (ARG'LEFT). When truncating,
  --         the sign bit is retained along with the rightmost part.
 
  -- Id: R.2
  function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED;
  -- Result subtype: UNSIGNED(NEW_SIZE-1 downto 0)
  -- Result: Resizes the SIGNED vector ARG to the specified size.
  --         To create a larger vector, the new [leftmost] bit positions
  --         are filled with '0'. When truncating, the leftmost bits
  --         are dropped.
  • Operadores em VHDL.
  • Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
  • Sobrecarga de operadores
Conversor de Binário para BCD
  • Considere um número decimal entre 000 e 999. Usando operadores predefinidos, obtenha na saída os dígitos decimais separados.
  • Escreva o código VHDL e analise o número de elementos lógicos necessários.
  • Faça a simulação funcional do circuito.
Tb bin2bcd.jpg
Fig. 20 - Simulação da conversão de binário para BCD
  • Compare sua implementação com os outros estudantes e analise as diferenças, observe o código RTL, o número de elementos lógicos e também o tempo de propagação.
Para separar os dígitos decimais do número de entrada pense nos operadores de "+", "-", "*", "/", "REM" e "MOD", shift.
Para facilitar os testes e a troca de informações entre as equipes, a ENTITY deverá ter o seguinte formato:
entity bin2bcd is
	port (
		X_bin	        : in  std_logic_vector(9 downto 0);  --  000 a 999
		C_bcd		: out std_logic_vector(3 downto 0);  --  Centena
		D_bcd		: out std_logic_vector(3 downto 0);  --  Dezena
		U_bcd		: out std_logic_vector(3 downto 0)); --  Unidade

end entity;

architecture example of bin2bcd is
--declaração de sinais auxiliares

begin
--descrição do hardware

end architecture;
Note que com X_bin 10 bits é possível representar números sem sinal entre 0 e . No entanto, os testes devem ser limitados a números entre 000 e 999, pois não há especificação para valores maiores que 999.
Existe um algoritmo Double Dabble que possibilita fazer essa conversão com menos hardware.
Para ver os máximo atraso de propagação entre a entrada e saída.
Dica para converter de INTEGER para STD_LOGIC_VECTOR de 4 bits.
M_bcd <= std_logic_vector(to_unsigned(M,4));
Ver pag. 91 a 108 de [2]
Ver: Medição de tempos de propagação em circuitos combinacionais


Aula 14 e 15 (25 e 28 mar)

Atributos em VHDL.

  • Atributos predefinidos:
  • tipo escalar e enumerados; tipo array; de sinal;
  • Atributos de síntese:

Em VHDL existem diversos atributos de sintese que controlam a forma como o processo de "Analysis & Synthesis" é realizado pelo Quartus II. Uma listagem completa pode ser encontrada em:

  • ATTRIBUTE enum_encoding [3]
type fruit is (apple, orange, pear, mango);
attribute enum_encoding : string;
attribute enum_encoding of fruit : type is "11 01 10 00";
  • ATTRIBUTE chip_pin [4]
entity foo is 
   port (sel : in std_logic; 
      data : in std_logic_vector(3 downto 0);
      o : out std_logic);
end foo;
architecture rtl of foo is 
             
   attribute chip_pin : string;
   attribute chip_pin of sel : signal is "C4";
   attribute chip_pin of data : signal is "D1, D2, D3, D4";             
begin 
    -- Specify additional code 
end architecture;

O uso desse atributo sobrepõe a atribuição dos pinos através da IDE do Quartus II, e por isso não é recomendável.

O atributo de síntese chip_pin pode ser usado apenas em portas da entidade "top-level" do projeto. .

  • ATTRIBUTE keep [5]
signal a,b,c : std_logic;
attribute keep: boolean;
attribute keep of a,b,c: signal is true;
  • Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
  • Exemplo 5.8 Gerador de Pulsos estreitos
signal a,b,c : std_logic;
attribute preserve: boolean;
attribute preserve of a,b,c: signal is true;
  • ATTRIBUTE noprune[8].
signal reg1: std_logic; 
attribute noprune: boolean; 
attribute noprune of reg1: signal is true;
  • Exemplo 4.5: Registros redundantes (Síntese sem e com os atributos keep, preserve e noprune)
ENTITY redundant_registers IS
	 PORT (
		clk, x: IN BIT;
		y: OUT BIT);
 END ENTITY;
 
 ARCHITECTURE arch OF redundant_registers IS
	 SIGNAL a, b, c: BIT;
         -- NORMAL -- 1 LE
	 --ATTRIBUTE preserve: BOOLEAN;
	 --ATTRIBUTE preserve OF a, b, c: SIGNAL IS TRUE; -- 2 LE 
	 --ATTRIBUTE noprune: BOOLEAN;
	 --ATTRIBUTE noprune OF a, b, c: SIGNAL IS TRUE; --3 LE
	 --ATTRIBUTE keep: BOOLEAN;
	 --ATTRIBUTE keep of a,b,c: SIGNAL IS TRUE;
 BEGIN
	 PROCESS (clk)
	 BEGIN
		 IF (clk'EVENT AND clk='1') THEN
			 a <= x;
			 b <= x;
			 c <= x;
		 END IF;
	 END PROCESS;
	 y <= a AND b;
 END ARCHITECTURE;

Após a compilação do código acima, observe o número de elementos lógicos obtidos, observe o Technology Map dos circuitos gerados e verifique a localização dos FFs no Chip Planner.

Sem Attribute
Fig 12. Technology Map do Circuito sem Attribute
Preserve (or Keep) Attribute
Fig 13. Technology Map do Circuito com Attribute Preserve (or Keep)
Noprune Attribute
Fig 14. Technology Map do Circuito com Attribute Noprune
:: Ver pag. 91 a 111 de [2]
  • Atributos definidos pelo usuário;
attribute attribute_name: attribute_type;
attribute attribute_name of entity_tag [signature]: entity_class is value;

Exemplo:

Aula 16 (29 mar)

Uso da instrução ALIAS.

  • Exemplo de uso de alias no pacote numeric_std.vhd
  function ADD_UNSIGNED (L, R: UNSIGNED; C: STD_LOGIC) return UNSIGNED is
    constant L_LEFT: INTEGER := L'LENGTH-1;
    alias XL: UNSIGNED(L_LEFT downto 0) is L;
    alias XR: UNSIGNED(L_LEFT downto 0) is R;
    variable RESULT: UNSIGNED(L_LEFT downto 0);
    variable CBIT: STD_LOGIC := C;
  begin
    for I in 0 to L_LEFT loop
      RESULT(I) := CBIT xor XL(I) xor XR(I);
      CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I));
    end loop;
    return RESULT;
  end ADD_UNSIGNED;
NOTA: No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0). Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
Unidade 4 - Código Concorrente

Unidade 4 - Código Concorrente

  • 4 AULAS
Aula 16 - (1 abr)
  • Código Concorrente.
  • Uso de Operadores
  • instrução WHEN-ELSE (WHEN)
<optional_label>: <target> <= 
	<value> when <condition> else
	<value> when <condition> else 
	<value> when <condition> else
	...
	<value>;
  • Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
  • No QuartusII existe um template pronto para ser utilizado em: [Edit > Insert Template > Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]
  • instrução WITH-SELECT-WHEN (SELECT)
<optional_label>: with <expression> select
	<target> <= 
		<value> when <choices>,
		<value> when <choices>,
		<value> when <choices>,
	 	...
		<value> when others;
  • Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES.
  • No QuartusII existe um template pronto para ser utilizado em: [Edit > Insert Template > Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]. Mas ATENÇÃO, faltam as virgulas após cada escolha.
  • Exemplo 5.1 + 5.2 mux: com 3 tipos de arquiteturas (com portas, com WHEN-ELSE, com WITH-SELECT)
Verifique os três circuitos considerando as entradas x0 a x3 e a saída y com apenas um elemento.
Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
No caso do uso de WHEN-ELSE e WITH-SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
Qual é a solução para a descrição com portas?
  • Para selecionar uma entre várias arquiteturas para a mesma ENTITY use a CONFIGURATION.
CONFIGURATION which_mux OF mux IS
   FOR Operator_only END FOR;
--   FOR with_WHEN END FOR;
--   FOR with_SELECT END FOR;
END CONFIGURATION;
Ver pag. 121 a 127 de [2]


Aula 17 (1 abr)
  • PROVA de 30 minutos.
  • Uso da instrução FOR-GENERATE
 
label: FOR identificador IN faixa GENERATE
   [Parte_Declarativa
BEGIN]
   Instruções_concorrentes
   ...
END GENERATE [label];
  • Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
---------------------
-- FILE my_pkg.vhd --
---------------------
library ieee;
use ieee.std_logic_1164.all;

package my_pkg is
	type a_slv	is array(natural range <>) of std_logic_vector (3 downto 0);
end package;
---------------------------
-- FILE vector_adder.vhd --
---------------------------
library ieee work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.my_pkg.all;

entity vector_adder is
	generic (N : natural := 4);
	port (
		a	  : in a_slv (0 to N-1);
		soma : out std_logic_vector (3 downto 0));
end entity;

-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.

architecture ifsc_v1 of vector_adder is
	signal soma_sig : signed(3 downto 0);
begin
	-- soma_sig <= signed(a(0)) + signed(a(1))   
	-- soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) 
	soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) +  signed(a(3));
	soma <= std_logic_vector(soma_sig);
end architecture;

-- Versão que realiza a soma usando um FOR GENERATE
architecture ifsc_v2 of vector_adder is
	
begin


end architecture;
---------------------------
-- FILE vector_adder.vhd --
---------------------------
configuration ifsc_cfg of vector_adder is
	-- for ifsc_v1 end for;
	for ifsc_v2 end for;
end configuration;
  • Ver pag. 127 a 134 de [2]


Aula 18 (05 abr)
Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
  • Fazer as seguintes alterações do código da ALU:
  • Inclusão de um sinal que indica "erro" quando ocorre overflow/underflow nas operações de soma, incremento ou decremento.
  • Inclusão de um circuito que satura o sinal no máximo positivo ou negativo nas situações de erro.
  • Teste da ALU usando simulação funcional.
Aula 19 (08 abr)
  • Código Concorrente.
  • Exemplo 5.4 - Decodificador genérico de endereços.


Aula 20 (10 abr)
  • Implementação de conversor Binário para Gray
entity bin2gray is

	generic (N : natural := 4 )

	port
	(
		b  : in std_logic_vector(____)
		g  : out std_logic_vector(____)
	)

end entity

architecture ifsc of ____ is
begin


end architecture
  • Implementação de conversor Gray para Binário
entity gray2bin is

	generic (N : natural := 4 )

	port
	(
		g  : in std_logic_vector(____)
		b  : out std_logic_vector(____)
	)

end entity

architecture ifsc of ____ is
begin


end architecture
  • Implementação de incrementador Gray
  • simulação funcional e temporal dos circuitos
  • medição dos tempos de propagação.
  • ver detalhes Código Gray
Aula 21 - (11 abr)
  • Código Concorrente.
Aula 22 (12 abr)
  • Código Concorrente.
  • Implementação de circuitos aritméticos com operadores.
  • Para o uso dos operadores o mais adequado é utilizar o padrão industrial STD_LOGIC_VECTOR. Internamente os valores das portas devem ser convertidos ou para valores INTEGER ou para UNSIGNED/SIGNED. para tal é necessário utilizar a biblioteca numeric_std.
  • Também é fundamental considerar a faixa de valores coberta por bits. Para tipos UNSIGNED a faixa é de até , enquanto que para SIGNED a faixa é de até . Assim com 3 bits é possível representar valores entre -4 até 3 com um tipo SIGNED e 0 até 7 com um tipo UNSIGNED.
  • Para uso adequado dos operadores também é necessário considerar o tamanho (número de bits) requerido para o resultado em função do tamanho dos operandos.
  • Ver a declaração das funções "+", "-", "*" e "/" no PACKAGE Numeric std.vhd
function "+" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
function "-" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
  -- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
function "*" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
  -- Result: Performs the multiplication operation on two UNSIGNED vectors
  --         that may possibly be of different lengths.
 function "/" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
  -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
  -- NOTE: If second argument is zero for "/" operator, a severity level of ERROR is issued.
  • Para operações de "+" ou "-": O tamanho do resultado é igual ao tamanho do maior operando.
Exemplo: r[7..0] = a[7..0] + b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8 bits.
  • Para a operações "*": O tamanho do resultado é igual a soma do tamanho dos dois operandos.
Exemplo: r[12..0] = a[7..0] * b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8+5 = 13 bits.
  • Para "/": O tamanho do resultado é igual ao tamanho do numerador.
Exemplo: r[5..0] = a[5..0] / b[8..0]; a -> 6 bits; b -> 9 bits então r -> 6 bits.
  • No caso da operações de "*" e "/" não ocorre overflow, no entanto no caso da "+" e "-", o overflow/underflow pode ocorrer e precisa ser tratado. Isso pode ser feito acrescentando um bit adicional a saída para conter o overflow ou então sinalizar a sua ocorrência com um bit na saída do circuito. Note que no caso em que ocorrem sucessivas somas, é impraticável ficar aumentando o número de bits para evitar o overflow, de modo que a sinalização do overflow ou uso de escalas, ou representação em ponto fixo ou ponto flutuante podem ser as soluções a serem adotadas.
  • No caso das operações de "+" e "-" também pode ser necessário tratar os sinais de carry in e carry out, que permitem ampliar o tamanho de um somador realizando a sua conexão em cascata, ao mesmo tempo que tratam o overflow.
  • Exemplo 5.7 - Somador/Subtrator Recomendado.
  • Implementar o circuito com 4 bits na entrada e 5 bits na saída (com signed e unsigned)
  • Implementar o circuito com 4 bits na entrada e 4 bits na saída, e uma saída de cout para soma e para subtração
  • Implementar um circuito multiplicador com 4 bits na entrada e 7 bits na saída.
  • em todos os circuitos acima onde possa ocorrer overflow(underflow), acrescentar um circuito para saturar a saída no máximo(mínimo).
  • em todos os circuitos acima onde possa ocorrer overflow(underflow), acrescentar um bit de saída que indique quando o resultado está com erro.
  • Ver pag. 139 a 140 de [2]
Unidade 5 - Código Sequencial

Unidade 5 - Código Sequencial

  • 7 AULAS
Aula 23 (15 abr)
  • Código Sequencial.
  • Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
  • Diferenças entre os objetos SIGNAL e VARIABLE
  • Tipos de elementos de memória: Latch x Flip-flop
  • Latch D
  • Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
  • Seção de código sequencial PROCESS: lista de sensibilidade
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
             [parte_declarativa]
         BEGIN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         END PROCESS [rótulo];
  • Instrução IF
[rótulo:] IF condição THEN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         ELSIF condição THEN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         ELSE
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         END IF [rótulo];
  • Exemplos:
  • DFFs com Reset Assincrono e Reset Sincrono, com Enable, com Preset (Variação Ex 6.1).
  • Comparar com Latch (sem clk'event).
  • Simulação funcional do DFFs e do Latch
  • Ver pag. 161 a 160 de [2]


Aula 24 e 25 (22 e 25 abr)
  • Código Sequencial.
  • Contador Básico 0-N (baseado no Ex.6.2)
  • Registrador de deslocamento (Ex.6.3)
Aula 26 (26 abr)
  • Uso do Modelsim para simulação funcional.
  • Use o Modelsim para fazer a simulação dos circuitos sequenciais das aulas anteriores.
  • Contador Básico 0-N (baseado no Ex.6.2)
  • Registrador de deslocamento (Ex.6.3)
Aula 27 (29 abr)
Avaliação A1 - UN2, UN3, UN4


Aula 28 (03 mai)
  • Código Sequencial
  • Instrução WAIT: WAIT UNTIL, WAIT FOR (simulação apenas), WAIT ON (não implementada no Quartus II).
Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
Algumas instruções de WAIT serão utilizadas na criação de TestBench em VHDL para a simulação com o MODELSIM.
  • Instruções do tipo LOOP: LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
  • LOOP incondicional:
[rótulo:] LOOP
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • FOR-LOOP:
[rótulo:] FOR identificador IN faixa LOOP
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • WHILE-LOOP:
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • LOOP com EXIT:
[rótulo:] [FOR identificador IN faixa] LOOP
             afirmação_sequencial;
             EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • LOOP com NEXT:
[rótulo:] [FOR identificador IN faixa] LOOP
             afirmação_sequencial;
             NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
                                               -- e incrementa o "identificador".
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Exemplos:
  • Carry-Ripple Adder (FOR-LOOP) (Ex 6.4)
  • Leading Zeros (LOOP com EXIT) (Ex 6.5)
  • Hamming weight com (LOOP com NEXT).
  • Ver pag. 161 a 164 de [2]
Aula 29 (06 mai)
  • Código Sequencial.
  • Instrução CASE
 [rótulo:] CASE expressão IS
                  WHEN valor => atribuições;                            -- valor único
                  ...
                  WHEN valor1 | valor2 | ... | valorN  => atribuições;  -- lista de valores
                  ...
                  WHEN valor1 TO valor2    => atribuições;              -- faixa de valores
                  ...

          END CASE;
  • Contador de 0 a 9 segundos com saída SSD (Ex 6.6).
  • v1 - Unir o código de um contador de 0 a 9 (código sequencial), e o conversor de binário para sete segmentos (código concorrente)
  • v2 - mudar o conversor para SSD de forma a usar código sequencial (CASE).
  • v3 - incluir o conversor para SSD de forma a usar código sequencial (CASE)no mesmo processo que tem o contador de 0-9.
  • Projetar um circuito que permita obter um clock com período de 1 segundo, a partir de um sinal de clock com frequência de fclk = 50MHz.
  • Atividade para casa.

Informamos que o período de avaliação do docente pelo aluno via SAD do primeiro semestre de 2019 inicia em 8 de abril e finaliza no dia 10 de maio.

O link de acesso do SAD é https://avaliacao.ifsc.edu.br/

Os alunos devem utilizar a MATRÍCULA para usuário e a DATA DE NASCIMENTO para a senha. Lembrar aos alunos que esses dados não ficam registrados, ou seja, as respostas são sigilosas. </syntaxhighlight>

Unidade 6 - Projeto a nível de Sistema


Unidade 6 - Projeto a nível de Sistema

  • 7 AULAS
Aula 31 (10 mai)
  • Projeto a nível de Sistema.
  • O COMPONENT: declaração (cópia da ENTITY) e instanciação.
  • Remodelar o projeto do contador 0 a 9 com saída SSD para usar um componente para o contador e outro para o conversor de BCD para SSD.
  • O PACKAGE e PACKAGE BODY: onde declarar e como usar.

Assim a entity Timer00_99

ENTITY timer00_99seg
GENERIC        (D : INTEGER;
		fclock : INTEGER;
		U : INTEGER);
PORT            (clk50MHz : IN STD_LOGIC;
 		 RST : IN STD_LOGIC;
		 clk1seg : OUT STD_LOGIC;
		 SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
		 SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
END ENTITY;

Será declarada como um COMPONENT

COMPONENT timer00_99seg
GENERIC         (D : INTEGER;
		 fclock : INTEGER;
		 U : INTEGER);
PORT            (clk50MHz : IN STD_LOGIC;
		 RST : IN STD_LOGIC;
		 clk1seg : OUT STD_LOGIC;
		 SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
		 SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
END COMPONENT;
  • Associação dos nomes das portas aos sinais. PORT -> PORT MAP:
  • Mapeamento por posição e nominal.
comp1 : timer00_99seg
GENERIC MAP    (2, 10, 3)		
PORT MAP       (clk50MHz,RST, clk1seg, SSD_Dseg, SSD_Useg);
comp1 : timer00_99seg
GENERIC MAP    ( D => 2, U => 3,
                 fclock => 10,		
PORT MAP       ( clk50MHz => clk50MHz,
		 RST => RST,
		 clk1seg => clk1seg,
		 SSD_Dseg => SSD_Dseg,
		 SSD_Useg => SSD_Useg);
  • Métodos de declaração de COMPONENT.
  • Exemplo: Registrador Circular Ex. 8.2
  • Criação de COMPONENT redimensionáveis. GENERIC -> GENERIC MAP
  • Exemplo: Porta E com N entradas.
  • Exemplo: Detector de Paridade Ex. 8.3
  • Ver pag. 201 a 213 de [2]
Aula 32 e 33 (13 e 16 mai)
  • Implementar o timer00a99 em projeto hierarquico

Timer00a99RTL.png

  • Fazer a simulação de 110 segundos usando o MODELSIM.
  • Use um clock compativel com o divisor utilizado no div_clock

Timer00a99SIM.png

  • Testar o timer00a99 no kit DE2-115
  • Usar uma chave PUSH-BOTTON como reset.
  • Usar o clk de 50 MHz da placa
  • Usar dois displays SSD para mostrar a contagem de 00 a 99 segundos
  • Usar um led para mostrar o segundo e outro para mostrar a dezena de segundos.
  • Verificar se o circuito funciona como esperado.
  • Anote os problemas ocorridos e as soluções adotadas.



Unidade 7 - Maquinas de Estado Finitas

Unidade 7 - Maquinas de Estado Finitas

  • 6 AULAS
Aula 37 (mai)
  • Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
  • O que é uma FSM - Finite State Machine
  • Modelo de FSM
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
----------------------------------------------------------
ENTITY < entity_name > IS
PORT (
	clk, rst : IN STD_LOGIC;
	input : IN < data_type > ;
	output : OUT < data_type >);
END < entity_name > ;
----------------------------------------------------------
ARCHITECTURE < architecture_name > OF < entity_name > IS
	TYPE state IS (A, B, C, ...);
	SIGNAL pr_state, nx_state : state;
	ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
	ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
BEGIN
	------Logica Sequencial da FSM:------------
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			pr_state <= A;
		ELSIF (clk'EVENT AND clk = '1') THEN
			pr_state <= nx_state;
		END IF;
	END PROCESS;
	------Logica Combinacional da FSM:------------
	PROCESS (pr_state, input)
	BEGIN
		CASE pr_state IS
			WHEN A =>
				output <= < value > ;
				IF (input =< value >) THEN
					nx_state <= B;
					...
				ELSE
					nx_state <= A;
				END IF;
			WHEN B =>
				output <= < value > ;
				IF (input =< value >) THEN
					nx_state <= C;
					...
				ELSE
					nx_state <= B;
				END IF;
			WHEN ...
		END CASE;
	END PROCESS;
	------Seção de Saída (opcional):-------
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			new_output <= < value > ;
		ELSIF (clk'EVENT AND clk = '1') THEN --or clk='0'
			new_output <= output;
		END IF;
	END PROCESS;
END < architecture_name > ;
  • Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
  • Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados
rst -> A -(x=0)-> A -(x=1)-> A -(x=2)-> B -(x=2)-> B -(x=0)-> C -(x=0)-> C -(x=2)-> C -(x=1)-> A -(x=2)-> B -(x=1)-> A.
Ver pag. 277 a 35 de [2]
  • Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
  • Exemplo 11.1 Controlador de uma máquina de Venda
  • Compile o código mostrado no Exemplo 11.1.
  • Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.
FSM tb40.png
  • Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
Aula 38 (mai)
  • Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
  • Maquina FSM .
  • Implementar a FSM para uma sinaleira manual para duas vias. A mudança do sinal deve ser feito a partir do acionamento de uma chave por um guarda.

ENTITY sinal_manual IS PORT ( clk, rst : IN STD_LOGIC;

               guarda:    IN STD_LOGIC;

LVd1, LAm1, LVm1 : OUT std_logic; -- Lampadas da Via 1

               LVd2, LAm2, LVm2 : OUT std_logic  -- Lampadas da Via 2
       ); 

END entity ; </syntaxhighlight> O diagrama da FSM deve ser algo parecido com o mostrado abaixo

FSMSinaleiraManual.png

  • Note que se o sinal guarda permanece alto por mais que um clock, ocorre a mudança de mais de um estado. Para evitar esse problema pode ser utilizada a técnica de usar um FLAG, ou estados de WAIT no qual se aguarda o retorno dos sinal para baixo antes de avançar para o próximo estado.


Aula 39 (mai)
  • Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
  • Maquina FSM temporizada.
  • Implementar a maquina temporizada para uma sinaleira automática para duas vias.

ENTITY sinal_auto IS GENERIC (TVd1: natural := 300; TVd2: natural := 120; TAm: natural := 10); PORT ( clk, rst : IN STD_LOGIC; LVd1, LAm1, LVm1 : OUT std_logic; -- Lampadas da Via 1

               LVd2, LAm2, LVm2 : OUT std_logic  -- Lampadas da Via 2
       ); 

END entity ; </syntaxhighlight> Os tempos TVd1 e TVd2 são os tempos em segundos para verde das vias 1 e 2 respectivamente. TAm é o tempo em segundos que fica em amarelo em ambas vias.

A simulação no ModelSim da sinaleira deve resultar em algo conforme mostrado abaixo:

SimSinaleiraAutom.png

  • DICA: Use na simulação comandos que automatizam o processo através de um script tb_sinal_auto.do

vcom -93 -work work {../../sinal_auto.vhd} vsim work.sinal_auto do wave.do force -freeze sim:/sinal_auto/clk 1 0, 0 {0.5sec} -r {1 sec} force -freeze sim:/sinal_auto/rst 1 0, 0 0.01 sec run 25 min </syntaxhighlight>

Unidade 8 - Testbench

Unidade 8 - Testbench

  • 2 AULAS
Aula 40 (27 mai)
  • Simulação de sistemas digitais com Modelsim e testbench em VHDL
  • Tipos de simulação:
1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída - OK já visto desde CIL29003
2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída - OK já visto desde CIL29003
3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - OK já visto
4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
  • Simular a maquina de venda de doces Ex 11.1
DICA: Use o comando do Quartus II para gerar um template para o testbench. Selecione cada componente como TOP LEVEL e faça a ANÁLISE E SÍNTESE em seguida (Processing > Start > Start Test Bench Template Writer).
  • Criação de sinais para Test Bench em VHDL
Geração de sinal de clock
-- DECLARAR
constant tclk: time := 1 ns;
signal clk   : std_logic := '0';

-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
clk <= not clk after tclk;

-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
  clk <= '1';
  wait for tclk;
  clk <= '0';
  wait for tclk;
END PROCESS;
Geração de sinal de reset
-- DECLARAR
constant treset: time := 100 ps;
signal reset   : std_logic;

-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
reset <= '1', '0' after treset;

-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
  reset <= '1';
  wait for treset;
  reset <= '0';
  wait;
END PROCESS;
Geração de uma sequencia binária
-- DECLARAR
constant t_a: time := 100 ps;
constant Nbits: natural := 8;
signal a  : std_logic_vector(Nbits-1 downto 0);

-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
	for i in 0 to 2**Nbits-1 loop
		a <= std_logic_vector(to_unsigned(i,Nbits));
		wait for t_a;
	end loop;
END PROCESS;
Geração de uma sequencia pseudoaleatória
-- DECLARAR
constant t_a: time := 100 ps;
constant Nbits: natural := 8;
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');

-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
-- USANDO UM CONTADOR LFSR
PROCESS                                              
BEGIN  
	for i in 0 to 2**Nbits-1 loop
		a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1);  -- para 8 bits
		wait for t_a;
	end loop;
END PROCESS;
Aula 41 (7 jun)
  • Revisão para avaliação A2
  • Implementar um debouncer de chave mecânica, considerando que o período de bouncing é de no máximo 10ms.
  • Considere que existe disponível um sinal de clock de 1ms.

DeboucerFig6 18.jpg

FONTE: Pedroni.

  • Usando um clock de 50MHz, gere os sinais de clock com duração de 1ms e de 1 segundo.
  • Use duas instância de um componente divisor de clock para fazer o circuito hierárquico.
Aula 42 (10 jun)
  • Avaliação A2
Unidade 9 - Projeto Final

Unidade 9 - Projeto Final

  • 8 AULAS
Aula 40 (07 jun)
  • Projeto a nível de Sistema.
  • Especificação do projeto final:
  • Objetivo: transmitir através de um transmissor serial assíncrono uma mensagem ASCII.
  • Especificação: A especificação foi informada para os alunos em sala, e estes são responsáveis por criar o texto de especificação do sistema, incluindo detalhes de forma de testes.
Aula 43 (14 jun)
  • Equipes trabalhando no projeto no Laboratório.

FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.

A instrução ASSERT é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:

[rótulo:] assert condição_booleana
[report mensagem]
[severity nivel_severidade];

A mensagem pode ser criada usando STRINGs que podem ser concatenadas.

O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou FAILURE. ERROR é o valor "default" [9].

  • A FUNCTION: declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.
function nome_funçao (lista_parametros_entrada) return tipo_saida is
   declarações
begin
   afirmações sequenciais
end function;
  • Uso de FUNCTION e ASSERT.
  • Exemplo: Declaração em ARCHITECTURE Ex.9.1
  • Exemplo: Declaração em PACKAGE Ex. 9.2
  • Exemplo: Declaração em ENTITY Ex. 9.3

Abaixo segue um exemplo de cálculo do log2 de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.

function log2c (n : integer) return integer is
	variable m , p : integer;
begin
	m := 0;
	p : = 1;
	while p < n loop
		m : = m + 1;
		p := p * 2;
	end loop;
	return m;
end log2c;
Aula 44 (17 jun)
  • Projeto Final - Detalhamento em sala da especificação do transmissor serial assíncrono
  • Sugestão detalhada para o gerador de baud-rate, utilizando divisores de clock em paralelo ou em cascata.
  • Sugestão de uso do registrador de deslocamento para o conversor paralelo/serial.
  • Sugestão de uso de uma maquina de estados para o conversor paralelo/serial.
  • Sugestão de entrada da mensagem por chaves binárias ou através de memórias rom (String constante).
  • Sugestão de uso de um conversor de ASCII para sete segmentos
  • Alguns detalhes do projeto
  • Definição de prazo:

1) A parte inicial do projeto deve ter sua especificação concluída até o dia 14/06.

  • O trabalho poderá ser desenvolvido em equipes de até 2 alunos (devido ao número impar de alunos é permitida uma única equipe de 3 alunos). O trabalho também pode ser feito de forma individual, mediante justificativa.
  • Durante as aulas até o final do professor estará disponível para o esclarecimento de dúvidas e orientação das equipes.
  • A presença dos alunos é obrigatória nas aulas de projeto, pois os mesmos estarão sendo avaliados no seu trabalho em equipe e individual durante estas aulas.
Aula 45 (24 jun)
  • Equipes trabalhando no projeto no Laboratório.
  • Dica para uso do tipo STRING no VHDL.
  • O STRING é um tipo predefinido do pacote Standard, sendo um array de uma dimensão onde cada elemento é do tipo CHARACTER.
type string is array (positive range <>) of character;
  • Note que o range é positivo, portanto deve ser de maior que 1, podendo ser usado o range ascendente ou descendente.
  • Cada CHARACTER é uma letra ou simbolo representado por um código ASCII.
	type character is (
		nul, soh, stx, etx, eot, enq, ack, bel, 
		bs,  ht,  lf,  vt,  ff,  cr,  so,  si, 
		dle, dc1, dc2, dc3, dc4, nak, syn, etb, 
		can, em,  sub, esc, fsp, gsp, rsp, usp, 
 
		' ', '!', '"', '#', '$', '%', '&', ''', 
		'(', ')', '*', '+', ',', '-', '.', '/', 
		'0', '1', '2', '3', '4', '5', '6', '7', 
		'8', '9', ':', ';', '<', '=', '>', '?', 
 
		'@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 
		'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 
		'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 
		'X', 'Y', 'Z', '[', '\', ']', '^', '_', 
 
		'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 
		'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 
		'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 
		'x', 'y', 'z', '{', '|', '}', '~', del );
  • As atribuições em um objeto do tipo STRING são realizadas do mesmo modo que em qualquer outro array. Pode ser feita a atribuição em um simples elemento, concatenação, agregação, fatiamento ou combinação dos anteriores. Também estão disponíveis operadores predefinidos como "=", "/=", "<", "<=", ">", ">=" e "&".
  • A concatenação pode ser feita entre 2 strings, ou entre string e caracter ou até mesmo entre dois caracteres para criar uma string.
-- Declaração dos objetos
constant mensagem1 : String(1 to 25) := "Este é um teste de string";
constant N: natural := 7;
signal letra1 : character;
signal mensagem2 : string(1 to 29);
signal mensagem3 : string(1 to 3);
signal letra_nat : natural range 0 to 2**N-1;
signal letra_slv : std_logic_vector(N-1 downto 0);

-- Uso dos objetos na ARCHITECTURE

mensagem2 <= mensagem1(1 to 5) & "não " & mensagem1(6 to 25);
-- Resulta na STRING "Este não é um teste de string"

mensagem3 <= 'D' & 'L' & 'P';
-- Resulta na STRING "DLP"

letra1 <= mensagem2(6)
-- Resulta no CHARACTER 'u'

-- posiçao da letra na tabela ascii => resulta em natural de 0 a 255
letra_nat <= character'pos(letra1);

-- letra convertida para slv de N bits
-- necessita de std_logic_1164 e numeric_std
letra_slv <= std_logic_vector(to_unsigned(character'pos(letra1),N));

Figura - Simulação da transmissão sequencial das letras de uma palavra

VHDL Modelsim string.png

  • Na representação em RADIX-ASCII, tanto o SIGNAL letra_nat e letra_slv são mostrados como CHARACTER ASCII.
  • Na representação em RADIX-DECIMAL, tanto o SIGNAL letra_nat e letra_slv mostram a posição do CHARACTER na definição do TYPE em decimal.
  • Na representação em RADIX-HEXADECIMAL, tanto o SIGNAL letra_nat e letra_slv mostram a posição do CHARACTER na definição do TYPE em hexadecimal.
  • Na representação em RADIX-BINAY, tanto o SIGNAL letra_nat e letra_slv mostram a posição do CHARACTER na definição do TYPE em binário. (esse código corresponde a representação em bits do CHARACTER ASCII)

ATUAL

Aula 46 a 48 (28 jun a 04 jul)
  • Trabalho das equipes no projeto
  • Término do projeto e simulação no Modelsim
  • Teste no kit DE2-115
Aula 49 (05 jul)
  • Projeto Final
  • Apresentação do sistema no kit FPGA pelas equipes.
Aula 50 (08 jul)
  • Avaliação de recuperação R12.

Avaliações

Atividade Relâmpago (AR)

As atividades relâmpago são atividades avaliativas opcionais que darão BôNUS adicionais ao aluno na próxima avaliação. Elas normalmente consistem de soluções simples para algum problema ou sistema. Elas são enunciadas na aula, e o prazo e a entrega serão definidos no Moodle. Não são aceitas entregas tardias, e apenas 2 alunos podem receber o bonus. A pontuação das atividades é informada a cada atividade.

Avaliação A1

  • Conteúdo avaliado serão as unidades 2 a 4 (cap 1 - 5)
  • Data da avaliação (25/04/2019) - Local: LabSiDi.

Avaliação A2

  • Conteúdo avaliado serão as unidades 5 a 7 (Cap 6 a 9)
  • Data da avaliação () - Local: LabSiDi.

Recuperação R12

  • Esta avaliação somente será realizada se necessária, e deverá ser feita na última semana letiva do semestre
  • Conteúdo avaliado será as unidades 2 a 7
  • Data da avaliação () - Local: LabSiDi.
As avaliações A1 e A2 são com consulta apenas as folhas de consulta entregues:
Dica use também como fonte de consulta os templates do Quartus.
Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.

Projeto Final (PF)

  • O projeto final é uma atividade de avaliação desenvolvida em equipe, e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre. A avaliação do projeto final corresponde a no mínimo 45% do peso no conceito final. São avaliados no projeto final os quesitos: 1) Sistema desenvolvido (projeto, simulação e realização, demostração do harware); 2) Relatório com a documentação completa do projeto; 3) A avaliação individual do aluno durante o desenvolvimento do projeto e/ou entrevista (avaliação oral).
PF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)
  • Cada aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono.
  • A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos.
  • O sistema no seu TOP LEVEL deverá ser constituído de um Conversor Paralelo Serial, um Conversor Serial Paralelo, dois Geradores de Baud Rate, um Gerador de Paridade, um Detector de Paridade, um Circuito de Entrada de Dados, e um Circuito de Saída de Dados.
  • O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentes. Recomenda-se utilizar também componentes para a criação dos circuitos no segundo nível. O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
  • Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para um clock menor de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM, sendo a última obrigatoriamente feita usando testbench em VHDL.
  • O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 usando as chaves e leds disponíveis e os pinos da GPIO para acionar circuitos externos.
  • O arquivo QAR do projeto, e os arquivos .do e o testbench .vht para o MODELSIM devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
  • Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento. Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos. A descrição da função dos pinos no Kit DE2-115 também deve ser feita.

Links uteis para entender o projeto:

Atividades Extraclasse (AE)

  • Entrega dos Atividades Extraclasse ao longo do semestre AE(0) a AE(N). A entrega, detalhes e prazos serão indicados na plataforma Moodle.

Estudos livres sem entrega de documentação (EL)

  • Os estudos livres são fortemente recomendados aos alunos como forma de melhor compreender o assunto estudado em cada unidade. Nas listas de exemplos e exercícios, os essenciais estão destacados em negrito. Não há prazos nem entregas desses estudos no Moodle, mas pede-se que os alunos realizem esses estudos e tirem suas dúvidas nas aulas destinadas a resolução de exercícios, ou nos minutos iniciais das aulas.
EL2 - Resolução dos exercícios do Cap 3
  • Resolva os exercícios do capítulo 3 (1, 2, 9, 11, 12, 13, 14-17, 18-20, 22, 23-30) pag. 81 a 89
EL3 - Resolução dos exercícios do Cap 4
  • Resolva os exercícios do capítulo 4 (4-8, 9, 10-11, 13, 15-16, 17 ) pag. 115 a 120
EL4 - Resolução dos exercícios do Cap 5
  • Resolva os exercícios do capítulo 5 (1, 2, 3, 4, 6, 7, 8-9, 10-11, 14-16, 17-18, 19 ) pag. 144 a 150
EL6 - Resolução dos exercícios do Cap 6
  • Resolva os exercícios do capítulo 6 (1, 2, 3-4, 5, 6-7, 9*, 10-11, 12*, 13*, 14, 15*) pag. 172 a 176.
EL7 - Resolução dos exercícios do Cap 7
  • Resolva os exercícios da capítulo 7 (9-10) pag. 197.
EL8 - Resolução dos exercícios do Cap 8
  • Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
EL9 - Resolução dos exercícios do Cap 9
  • Resolva os exercícios da capítulo 9 (1-4, 6-9) pag. 238 a 239.
EL10 - Resolução dos exercícios do Cap 10
  • Resolva os exercícios da capítulo 10 (1,2,5-7, 10-15, 17**) pag. 271 a 274.
  • Na simulação de cada exercício, faça um versão usando comandos force e run e outra com testbench em vhdl.

Referências Bibliográficas:

  1. PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657
  2. 2,00 2,01 2,02 2,03 2,04 2,05 2,06 2,07 2,08 2,09 2,10 2,11 2,12 2,13 2,14 PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p. ISBN 9780262014335


Curso de Engenharia de Telecomunicações