Histórico de edições de “Circuito Somador e Subtrator - Chu”

Ir para navegação Ir para pesquisar

Como selecionar: marque as caixas de seleção das versões que deseja comparar e pressione enter ou clique no botão na parte inferior do formulário.
Legenda: (atu) = diferenças em relação a última versão, (ant) = diferenças em relação a versão anterior, m = edição menor.

  • atuant 22h09min de 5 de dezembro de 2015Kamila.r discussão contribs 985 bytes +985 Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Chu/172 --8 bits library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity addsub is port( ...'