Histórico de edições de “Circuito Somador Carry Lookahead - Pedroni”

Ir para navegação Ir para pesquisar

Como selecionar: marque as caixas de seleção das versões que deseja comparar e pressione enter ou clique no botão na parte inferior do formulário.
Legenda: (atu) = diferenças em relação a última versão, (ant) = diferenças em relação a versão anterior, m = edição menor.

  • atuant 22h25min de 5 de dezembro de 2015Kamila.r discussão contribs 1 402 bytes +1 402 Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: Pedroni/490 --4 bits carry-lookahead --Uso do component library ieee; use ieee.std_logic_1164.all; entity carry...'