Mudanças entre as edições de "CIL-EngTel (página)"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 206: Linha 206:
 
EOF
 
EOF
 
tail .bashrc
 
tail .bashrc
bashrc
+
bash
 
</syntaxhighlight>
 
</syntaxhighlight>
  

Edição das 19h01min de 30 de abril de 2013

MURAL DE AVISOS E OPORTUNIDADES DA ÁREA DE TELECOMUNICAÇÕES



Carga horária, Ementas, Bibliografia, Professores

Plano de Ensino

Aulas Semestre 2013-1

Software e equipamentos recomendados

Nas aulas de Circuitos Lógicos utilizaremos o Quartus II que é um EDA da ALTERA que possibilita a programação, sintese, teste e programação de dispositivos de lógica programável como os CPLDs e FPGAs. Além deste software utilizaremos também para a simulação o QSIM e o Modelsim-Altera.

Kits de desenvolvimento da ALTERA TERASIC

  • Nos links a seguir você pode consultar as informações sobre os kits Educacionais e de Desenvolvimento DE0-Nano,DE0, DE1, DE2-115.
  • A instalação do cabo e driver USB para programação via JTAG de FPGA ALTERA deve ser feito uma vez na maquina onde será usado o programador da ALTERA (Embutido no Quartus II). Verificar o procedimento conforme o kit que você tem disponível em http://www.altera.com/download/drivers/dri-index.html.
  • Para facilitar a alocação da pinagem, a Altera disponibiliza os arquivos de configuração .qsf para estes kits DE0_Nano.qsf, DE0.qsf, DE1.qsf e DE2_115.qsf.

Uso nos Labs do IFSC ou com conexão a rede do IFSC

  • Para executar o Quartus II digite em um terminal
quartus  
  • Quando for solicitada a licença [Tools>Options>License Setup], indique o local onde está a licença do software License File: 1800@dko (ou opte pela avaliação de 30 dias).
  • Em seguida abra [Tools>Options>Intenet Conectivity] e indique o local onde está o Web browser: /opt/firefox/firefox
  • Agora o Quartus está pronto para uso.
quartus_sh --qsim

Uso fora do IFSC

Instalar Quartus II Web Edition Software da Altera com ISOs

su administrador
sudo su
  • montar os ISOs no UBUNTU e executar os setup indicando o diretório de instalação /opt/altera/12.1we
mkdir /media/quartus
mount -o loop /home/administrador/12.1_177_acds_web_edition.iso /media/quartus
/media/quartus/setup -a -t /opt/altera/12.1we
Tela de resultado para instalação normalmente

ALTERA SOFTWARE INSTALLER (version 12.1)

Altera Installer Version : 12.1 Product : ACDS 12.1 Build 177 Current date : Tue Apr 30 10:43:18 2013 System Info : linux (debian wheezy/sid ) Platform : Linux-3.2.0-40-generic-pae-i686-athlon-with-debian-wheezy-sid Base distribution : debian wheezy/sid GLIBC : glibc 2.2 Kernel : 3.2.0-40-generic-pae Processor : athlon Destination folder : /opt/altera/12.1we Temporary files will be preserved after installation.

Selected Features:


  • Quartus II Web Edition (Free) (includes Nios II EDS)
  • ModelSim-Altera Starter Edition (Free)

===> The installation is starting.


Installing quartus_free...

>> Calling: /media/quartuswe/linux_installer/quartus_free/install --auto /opt/altera/12.1we



Running automated install

Type the full pathname of the directory where you want to install the Quartus II Web Edition (Free) (includes Nios II EDS) 12.1 software.

(default: /opt/altera/12.1we): Quartus II Web Edition (Free) (includes Nios II EDS) 12.1 Installation Script for Linux Workstations Copyright (c) Altera Corporation 2012

Type Ctrl+C <Return> to quit this installation script at any time.

Use this installation script to install the Quartus II software, including MegaCore IP, sample/tutorial files, interfaces to other EDA tools, excluding Quartus II device family information.



chmod: não é possível acessar “./qdesigns”: Arquivo ou diretório não encontrado Installing contents of 'qdesigns.gz' to the '/opt/altera/12.1we/quartus/qdesigns' directory... Installing contents of 'adm.gz' to the '/opt/altera/12.1we/quartus/adm' directory... Installing contents of 'linux.gz' to the '/opt/altera/12.1we/quartus/linux' directory... Installing contents of 'sopc.gz' to the '/opt/altera/12.1we/quartus/sopc' directory... Installing contents of 'extlibs32.gz' to the '/opt/altera/12.1we/quartus/extlibs32' directory... Installing contents of 'lmf.gz' to the '/opt/altera/12.1we/quartus/lmf' directory... Installing contents of ip.gz to the '/opt/altera/12.1we/quartus/../ip' directory... Installing contents of 'perlsrc.gz' to the '/opt/altera/12.1we/quartus/perlsrc' directory... Installing contents of 'devinfo.gz' to the '/opt/altera/12.1we/quartus/devinfo' directory... Installing contents of 'common.gz' to the '/opt/altera/12.1we/quartus/common' directory... Installing contents of nios_base.gz to the '/opt/altera/12.1we/quartus/../nios2eds' directory... Installing contents of 'help.gz' to the '/opt/altera/12.1we/quartus/help' directory... Installing contents of 'dsp_builder.gz' to the '/opt/altera/12.1we/quartus/dsp_builder' directory... Installing contents of 'libraries.gz' to the '/opt/altera/12.1we/quartus/libraries' directory... Installing contents of 'eda.gz' to the '/opt/altera/12.1we/quartus/eda' directory... Installing contents of 'tutorial.gz' to the '/opt/altera/12.1we/quartus/tutorial' directory... Installing contents of 'drivers.gz' to the '/opt/altera/12.1we/quartus/drivers' directory... Installing contents of 'cusp.gz' to the '/opt/altera/12.1we/quartus/cusp' directory...

For information about completing the setup of the Quartus II software and configuring licensing, please check the Quartus II Installation and Licensing for Linux Workstations Manual on the Altera website at http://www.altera.com/literature/manual/quartus_unix.pdf

Installation of Quartus II Software for Linux Workstations is complete. You can now run the Quartus II software. >> Done; return status 0

Installing modelsim_ase...

>> Calling: /media/quartuswe/linux_installer/modelsim/install --modelsim_ase --auto /opt/altera/12.1we ModelSim Altera OEM 10.1b for ACDS 12.1 Installation Script present platform is LINUX Running automated install Type the full pathname of the directory where you want to install the Modelsim-Altera 10.1b software.

(default: /opt/altera12.1)Installing contents of 'vhdl.tgz' to the '/opt/altera/12.1we/modelsim_ase/vhdl' directory... Installing contents of 'modelsim_ase.tgz' to the '/opt/altera/12.1we/modelsim_ase/modelsim_ase' directory... Installing contents of 'verilog.tgz' to the '/opt/altera/12.1we/modelsim_ase/verilog' directory... Installing contents of 'others.tgz' to the '/opt/altera/12.1we/modelsim_ase/others' directory... >> Done; return status 0 Finishing installation ... >>> Registering Quartus II Web Edition (Free) (includes Nios II EDS) ... >>> Installing JTAG Server ...

      • Reported success to altera.com

===> Finished. </syntaxhighlight>

  • desmontar o ISO no UBUNTU
unmount /mnt/12.1_177_acds_web_edition.iso
rm /media/quartus
  • Colocação do lançador do Quartus II no desktop (abra um terminal)

cat quartuswe.desktop cat > ~/Área\ de\ Trabalho/quartuswe.desktop << EOF [Desktop Entry] Name=Quartus 12.1we Comment=Altera Quartus v.12.1 Web Edition Exec=/opt/altera/12.1we/quartus/bin/quartus Icon=/opt/altera/12.1we/quartus/adm/quartusii.png OnlyShowIn=GNOME;Unity; Terminal=false Type=Application X-GNOME-Autostart-Phase=Applications X-GNOME-AutoRestart=true NoDisplay=true EOF chmod 0755 ~/Área\ de\ Trabalho/quartuswe.desktop </syntaxhighlight>

Instalar Quartus II Subscription Edition da Altera com ISOs

mkdir /media/quartus
#export ISOS_QUARTUS=/home/administrador  
export ISOS_QUARTUS=/opt/altera/ISOS
mount -o loop $ISOS_QUARTUS/12.1_177_acds_linux.iso /media/quartus
/media/quartus/setup -a -t /opt/altera/12.1
 mount -o loop $ISOS_QUARTUS/12.1_177_acds_devices_1.iso /media/quartus
/media/quartus/setup -a -t /opt/altera/12.1
 mount -o loop $ISOS_QUARTUS/12.1_177_acds_devices_2.iso /media/quartus
/media/quartus/setup -a -t /opt/altera/12.1
  • desmontar os ISOs no UBUNTU
unmount /mnt/12.1_177*.iso
rm /media/quartus

Criar alias para os comandos na conta do usuário

Para criar um alias para os comandos quartus e qsim. Insira as linhas abaixo no final do arquivo .bashrc tail .bashrc cat >> .bashrc << EOF

  1. Alias para uso do Quartus II da ALTERA

alias quartuswe='/opt/altera/12.1we/quartus/bin/quartus' alias quartus='/opt/altera/12.1/quartus/bin/quartus' alias qsim='/opt/altera/12.1/quartus/bin/quartus_sh --qsim' EOF tail .bashrc bash </syntaxhighlight>

Materiais de apoio as aulas

Listas de Exercícios

Links auxiliares


Curso de Engenharia de Telecomunicações