Histórico de edições de “Arranjo de Multiplicadores - John”

Ir para navegação Ir para pesquisar

Como selecionar: marque as caixas de seleção das versões que deseja comparar e pressione enter ou clique no botão na parte inferior do formulário.
Legenda: (atu) = diferenças em relação a última versão, (ant) = diferenças em relação a versão anterior, m = edição menor.

  • atuant 16h21min de 7 de dezembro de 2015Kamila.r discussão contribs 2 415 bytes +2 415 Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> --Book: John/218 --4 bits library ieee; use ieee.numeric_bit.all; entity array_mult is port( x,y : in bit_vector(3 d...'