Mudanças entre as edições de "Experimento 10 para Circuitos Lógicos"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
(Criou página com '== Experimento 10== ;Objetivos: * Inserir diagrama esquemático de um circuito lógico comercial com o Quartus II de um Contador ass...')
 
 
(9 revisões intermediárias por um outro usuário não estão sendo mostradas)
Linha 1: Linha 1:
==[[Experimento 10 para Circuitos Lógicos | Experimento 10]]==   
+
__NOTOC__
 +
==Contador assíncrono crescente (Comercial)==   
 
;Objetivos:
 
;Objetivos:
 
* Inserir diagrama esquemático de um circuito lógico comercial com o Quartus II de um Contador assíncrono crescente;
 
* Inserir diagrama esquemático de um circuito lógico comercial com o Quartus II de um Contador assíncrono crescente;
 
* Gerar formas de onda para entrada de simulação;
 
* Gerar formas de onda para entrada de simulação;
 
* Fazer a simulação funcional de um circuito com o QSIM;
 
* Fazer a simulação funcional de um circuito com o QSIM;
* Preparar o circuito lógico para gravação em um kit de desenvolvimento; DE2-115
+
* Inserir um decodificador de 7 segmentos;
* Verificar os resultados obtidos;
+
* Preparar o circuito lógico para gravação em um kit de desenvolvimento;  
 
;Materiais necessários:
 
;Materiais necessários:
 
#CI [http://www.ti.com/lit/ds/symlink/sn74ls74a.pdf 74X74](disponível na biblioteca da ALTERA)
 
#CI [http://www.ti.com/lit/ds/symlink/sn74ls74a.pdf 74X74](disponível na biblioteca da ALTERA)
Linha 12: Linha 13:
 
#Kit DE2-115;
 
#Kit DE2-115;
  
==Inserir diagrama esquemático de um circuito lógico comercial com o Quartus II de um Contador assíncrono crescente==
+
==Inserir diagrama esquemático com o Quartus II de um Contador assíncrono crescente==
[[Imagem:Exp10_diag.png|800px]]
+
Abra o Quartus II (versão 13.0.1 SE) e insira o diagrama esquemático de um contador assíncrono crescente com 4 bits, conforme a figura abaixo.
 +
[[Imagem:Exp10_diag.png|900px]]
 +
 
 +
Após salvar o arquivo como cont_up.bdf em uma pasta vazia com nome Exp10, e crie um projeto cont_up.qpf utilizando a família family='''Cyclone IV E''' com o dispositivo device='''EP4CE115F29C7N'''.  Após isso compile o projeto.
  
 
==Gerar formas de onda para entrada de simulação==
 
==Gerar formas de onda para entrada de simulação==
 +
*Desenhe a forma de onda dos sinais de entrada conforme mostrado na figura abaixo, e salve com o nome EntradaFunc.vwf.
 +
 
[[Imagem:Exp10_entrada.png|900px]]
 
[[Imagem:Exp10_entrada.png|900px]]
  
 
==Fazer a simulação funcional de um circuito com o QSIM==
 
==Fazer a simulação funcional de um circuito com o QSIM==
 +
*Verifique se o resultado obtido corresponde a respostas do circuito implementado.
 
[[Imagem:Exp10_saida.png|900px]]
 
[[Imagem:Exp10_saida.png|900px]]
 +
 +
==Inserindo um decodificador de 7 segmentos==
 +
Para melhor visualizar o resultado da contagem, será usado um decodificador BCD para 7 segmentos comercial.  Utilize o CI [http://www.ti.com/lit/ds/symlink/sn7447a.pdf SN7447]. Ligue o CI conforme indicado no diagrama esquemático.  O resultado no display será uma contagem conforme a figura a seguir:
 +
 +
[[Arquivo:Segmentos_7447.png|600 px|center]]
 +
 +
==Preparar o circuito lógico para gravação em um kit de desenvolvimento==
 +
Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação.  Neste caso utilizaremos o kit DE2-115. [[Arquivo:KitDE2-115.png|200px|right|Clique para Ampliar]]
 +
*Mude a família e dispositivo a ser usado (Assignments > Devices), [Family = Cyclone IV E] e selecione  EP4CE115F29C7.
 +
 +
*Utilize o display HEX0 do kit para mostrar a contagem:
 +
 +
[[Arquivo:Display7segDE2-115.png|center]]
 +
 +
*Utilize os leds de LED0 a LED3 (verdes) para mostrar a contagem em binário.
 +
*Utilize a chave KEY0 para gerar o sinal de CLK.
 +
 +
*Atribua os pinos conforme a [ftp://ftp.altera.com/up/pub/Altera_Material/12.1/Boards/DE2-115/DE2_115.qsf pinagem do kit DE2-115].
 +
*Ao final da configuração dos pinos, o Pin Planner deverá mostrar a seguinte pinagem:
 +
 +
[[Arquivo:DE2-115-ContAssCres3.png|300px|center]]
 +
 +
*Defina como alta impedância o estado dos pinos não utilizados no projeto. (Assignments > Devices), [Device and Pin Options...], escolha a (Category=Unused Pins), e selecione Reserve all unused pins: As input tri-stated. [OK].
 +
 +
*Compile o projeto. Note que agora a numeração dos pinos também aparece no diagrama esquemático.
 +
*Use a porta USB-Blaster para fazer a programação na placa DE2-115;
 +
*No Quartus vá em (Tools > Programmer) para abrir a página de programação;
 +
**Selecione o Hardware (Hardware Setup > USB-Blaster);
 +
**Utilize o modo JTAG e clique em Start para começar a programação;[[Arquivo:Programador.png|200px|thumb|Clique para Ampliar]]
 +
*Verifique os resultados obtidos.

Edição atual tal como às 12h40min de 28 de outubro de 2014

Contador assíncrono crescente (Comercial)

Objetivos
  • Inserir diagrama esquemático de um circuito lógico comercial com o Quartus II de um Contador assíncrono crescente;
  • Gerar formas de onda para entrada de simulação;
  • Fazer a simulação funcional de um circuito com o QSIM;
  • Inserir um decodificador de 7 segmentos;
  • Preparar o circuito lógico para gravação em um kit de desenvolvimento;
Materiais necessários
  1. CI 74X74(disponível na biblioteca da ALTERA)
  2. CI 74X47 Decod 7-seg(disponível na biblioteca da ALTERA)
  3. Computador com software Quartus II da Altera.
  4. Kit DE2-115;

Inserir diagrama esquemático com o Quartus II de um Contador assíncrono crescente

Abra o Quartus II (versão 13.0.1 SE) e insira o diagrama esquemático de um contador assíncrono crescente com 4 bits, conforme a figura abaixo. Exp10 diag.png

Após salvar o arquivo como cont_up.bdf em uma pasta vazia com nome Exp10, e crie um projeto cont_up.qpf utilizando a família family=Cyclone IV E com o dispositivo device=EP4CE115F29C7N. Após isso compile o projeto.

Gerar formas de onda para entrada de simulação

  • Desenhe a forma de onda dos sinais de entrada conforme mostrado na figura abaixo, e salve com o nome EntradaFunc.vwf.

Exp10 entrada.png

Fazer a simulação funcional de um circuito com o QSIM

  • Verifique se o resultado obtido corresponde a respostas do circuito implementado.

Exp10 saida.png

Inserindo um decodificador de 7 segmentos

Para melhor visualizar o resultado da contagem, será usado um decodificador BCD para 7 segmentos comercial. Utilize o CI SN7447. Ligue o CI conforme indicado no diagrama esquemático. O resultado no display será uma contagem conforme a figura a seguir:

Segmentos 7447.png

Preparar o circuito lógico para gravação em um kit de desenvolvimento

Para gravar o circuito lógico no FPGA, é necessário escolher um FPGA para a aplicação. Neste caso utilizaremos o kit DE2-115.

Clique para Ampliar
  • Mude a família e dispositivo a ser usado (Assignments > Devices), [Family = Cyclone IV E] e selecione EP4CE115F29C7.
  • Utilize o display HEX0 do kit para mostrar a contagem:
Display7segDE2-115.png
  • Utilize os leds de LED0 a LED3 (verdes) para mostrar a contagem em binário.
  • Utilize a chave KEY0 para gerar o sinal de CLK.
  • Atribua os pinos conforme a pinagem do kit DE2-115.
  • Ao final da configuração dos pinos, o Pin Planner deverá mostrar a seguinte pinagem:
DE2-115-ContAssCres3.png
  • Defina como alta impedância o estado dos pinos não utilizados no projeto. (Assignments > Devices), [Device and Pin Options...], escolha a (Category=Unused Pins), e selecione Reserve all unused pins: As input tri-stated. [OK].
  • Compile o projeto. Note que agora a numeração dos pinos também aparece no diagrama esquemático.
  • Use a porta USB-Blaster para fazer a programação na placa DE2-115;
  • No Quartus vá em (Tools > Programmer) para abrir a página de programação;
    • Selecione o Hardware (Hardware Setup > USB-Blaster);
    • Utilize o modo JTAG e clique em Start para começar a programação;
      Clique para Ampliar
  • Verifique os resultados obtidos.