VHDL:identifier

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar

Identifiers are used to name items in a VHDL.

The rules to form identifiers are:

  • use only: alphabetic letters (‘A’ to ‘Z’ and ‘a’ to ‘z’), decimal digits (‘0’ to ‘9’) and underline character (‘_’);
  • start with an alphabetic letter (‘A’ to ‘Z’ and ‘a’ to ‘z’);
  • do not end with '_';
  • do not use sucessive '__'.