Documentação do ModelSim

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar

Materiais da Mentor Graphics

Simulando VHDL 2008

Para simular códigos escritos usando linguagem VHDL 2008, é necessário acrescente ao comando de compilação a opção "-2008"

vcom [options]  <filename>  [<filename> ...]
-87 — Enables support for VHDL 1076-1987. 
-93 — Enables support for VHDL 1076-1993.
-2002 — Enables support for VHDL 1076-2002. (default)
-2008 — Enables support for VHDL 1076-2008.

Ou na interface grafica [Compile > Compiler Options] na aba VHDL escolha a "Language Syntax" (x) Use 1076-2008.

ModelsimCompilerOptions2008.png

TCL language

Outros materiais

Onde encontrar o ModelSim

/opt/altera/13.0/quartus/modelsim_ase/linuxaloem/vsim
  • O Modelsim-ALTERA também pode ser acessado através da interface gráfica do QUARTUS II.
  • O Modelsim-ALTERA também está disponível no IFSC-CLOUD