Mudanças entre as edições de "Documentação do ModelSim"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
(Criou página com '==Materiais da Mentor Graphics== *[http://model.com/content/modelsim-pe-simulation-and-debug Site Mentor Graphics] - Software Version 10.0 *[http://www.mentor.com/company/higher_...')
 
 
(8 revisões intermediárias pelo mesmo usuário não estão sendo mostradas)
Linha 1: Linha 1:
 
==Materiais da Mentor Graphics==
 
==Materiais da Mentor Graphics==
 
*[http://model.com/content/modelsim-pe-simulation-and-debug Site Mentor Graphics] - Software Version 10.0
 
*[http://model.com/content/modelsim-pe-simulation-and-debug Site Mentor Graphics] - Software Version 10.0
*[http://www.mentor.com/company/higher_ed/modelsim-student-edition Download do ModelSim PE Student Edition]
 
 
*[[Media:modelsim_pe_ref.pdf | ModelSim® PE Reference Manual]] - v10.0d
 
*[[Media:modelsim_pe_ref.pdf | ModelSim® PE Reference Manual]] - v10.0d
 
*[[Media:modelsim_tut.pdf |ModelSim® Tutorial]] - v10.0d
 
*[[Media:modelsim_tut.pdf |ModelSim® Tutorial]] - v10.0d
Linha 8: Linha 7:
 
*[[Media:m_qk_guide.pdf |ModelSim® Quick Guide]] - v10.0d
 
*[[Media:m_qk_guide.pdf |ModelSim® Quick Guide]] - v10.0d
 
*[http://www.mentor.com/products/fv/multimedia/overview/modelsim-demo-overview-34d471dc-cb74-400b-be98-5a81213cf45a Demo]
 
*[http://www.mentor.com/products/fv/multimedia/overview/modelsim-demo-overview-34d471dc-cb74-400b-be98-5a81213cf45a Demo]
 +
 +
==[[Criando formas de ondas no Modelsim]]==
 +
 +
==Simulando VHDL 2008==
 +
Para simular códigos escritos usando linguagem VHDL 2008, é necessário acrescente ao comando de compilação a opção "-2008"
 +
vcom [options]  <filename>  [<filename> ...]
 +
 +
-87 — Enables support for VHDL 1076-1987.
 +
-93 — Enables support for VHDL 1076-1993.
 +
-2002 — Enables support for VHDL 1076-2002. (default)
 +
-2008 — Enables support for VHDL 1076-2008.
 +
 +
Ou na interface grafica [Compile > Compiler Options] na aba VHDL escolha a "Language Syntax" (x) Use 1076-2008.
 +
 +
[[Arquivo:ModelsimCompilerOptions2008.png]]
 +
 +
==TCL language==
 +
*[http://www.tcl.tk/about/language.html Language]
 +
*[http://www.tcl.tk/man/tcl/TclCmd/contents.htm Tcl Commands] -v8.6.4
 +
:*[http://www.tcl.tk/man/tcl/TclCmd/after.htm after], [http://www.tcl.tk/man/tcl/TclCmd/expr.htm expr], [http://www.tcl.tk/man/tcl/TclCmd/for.htm for], [http://www.tcl.tk/man/tcl/TclCmd/set.htm set].
 +
*[https://www.tcl.tk/man/tcl8.5/tutorial/tcltutorial.html Tcl Tutorial]
 +
*[http://www.tutorialspoint.com/execute_tcl_online.php  Online Tcl terminal]
  
 
==Outros materiais==
 
==Outros materiais==
 
*[http://www.vhdl.us/Book_VHDL_2ed_unrestricted/Pedroni_VHDL_2ed_tutorial_ModelSim_10.1d_v2.pdf Pedroni VHDL 2ed Tutorial of ModelSim 10.1d]
 
*[http://www.vhdl.us/Book_VHDL_2ed_unrestricted/Pedroni_VHDL_2ed_tutorial_ModelSim_10.1d_v2.pdf Pedroni VHDL 2ed Tutorial of ModelSim 10.1d]
: Para executar o Modelsim-Altera no Lab de programação abra um terminal e digite:
+
*[https://www.youtube.com/watch?v=Z8whdGa7RtY ModelSim Video Tutorial] - Kirk Weedman
/opt/altera/13.0/quartus/modelsim_ase/linuxaloem/vsim
 

Edição atual tal como às 17h03min de 15 de setembro de 2022

Materiais da Mentor Graphics

Criando formas de ondas no Modelsim

Simulando VHDL 2008

Para simular códigos escritos usando linguagem VHDL 2008, é necessário acrescente ao comando de compilação a opção "-2008"

vcom [options]  <filename>  [<filename> ...]
-87 — Enables support for VHDL 1076-1987. 
-93 — Enables support for VHDL 1076-1993.
-2002 — Enables support for VHDL 1076-2002. (default)
-2008 — Enables support for VHDL 1076-2008.

Ou na interface grafica [Compile > Compiler Options] na aba VHDL escolha a "Language Syntax" (x) Use 1076-2008.

ModelsimCompilerOptions2008.png

TCL language

Outros materiais