Mudanças entre as edições de "DLP29006-Engtelecom(2018-2) - Prof. Marcos Moecke"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
 
(96 revisões intermediárias por 2 usuários não estão sendo mostradas)
Linha 1: Linha 1:
 
{{DivulgueEngtelecom}}
 
{{DivulgueEngtelecom}}
 
==Registro on-line das aulas==
 
==Registro on-line das aulas==
{{collapse top| expand=true | Unidade 1 - Introdução}}
+
{{collapse top| Unidade 1 - Introdução}}
 
===Unidade 1 - Introdução===
 
===Unidade 1 - Introdução===
 
* 2 AULAS
 
* 2 AULAS
Linha 64: Linha 64:
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| expand=true | Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS}}
+
{{collapse top| Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS}}
  
 
===Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS===
 
===Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS===
Linha 287: Linha 287:
 
===Unidade 3 - Tipos de Dados e Operadores em VHDL===
 
===Unidade 3 - Tipos de Dados e Operadores em VHDL===
 
* 7 AULAS
 
* 7 AULAS
<!--
+
 
 
;Aula 7 (5 mar):
 
;Aula 7 (5 mar):
 
*Tipos de Dados em VHDL.
 
*Tipos de Dados em VHDL.
Linha 293: Linha 293:
 
:*Palavra chave OTHERS
 
:*Palavra chave OTHERS
 
:: Ver pag. 31 a 35 de <ref name="PEDRONI2010b"/>
 
:: Ver pag. 31 a 35 de <ref name="PEDRONI2010b"/>
:* Bibliotecas padrão IEEE ('''std_logic_1164''', '''numeric_std''').
+
:* Bibliotecas padrão IEEE ('''[[Std logic 1164.vhd]]''', '''[[Numeric std.vhd]]''').
 
::* '''ATENÇÃO!!! Não use as bibliotecas que não são padrão (''std_logic_arith, std_logic_unsigned, std_logic_signed''''')
 
::* '''ATENÇÃO!!! Não use as bibliotecas que não são padrão (''std_logic_arith, std_logic_unsigned, std_logic_signed''''')
 +
::* Ler e guardar a página sobre [[Aritmética com vetores em VDHL]]
 
:* Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
 
:* Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
 
{{collapse top | Código Multiplicador}}
 
{{collapse top | Código Multiplicador}}
Linha 331: Linha 332:
 
:: Ver pag. 39 a 54 de <ref name="PEDRONI2010b"/>
 
:: Ver pag. 39 a 54 de <ref name="PEDRONI2010b"/>
  
;Aula 8 (7 mar):
+
 
 +
;Aula 8 (15 ago):
 
*Tipos de Dados em VHDL.
 
*Tipos de Dados em VHDL.
 
* Classificação dos tipos de dados.
 
* Classificação dos tipos de dados.
Linha 383: Linha 385:
 
:* Tipos de dados: SIGNED e UNSIGNED
 
:* Tipos de dados: SIGNED e UNSIGNED
 
::* Exemplo 3.3 Multiplicador (visto anteriormente)
 
::* Exemplo 3.3 Multiplicador (visto anteriormente)
 +
 +
 +
;Aula 9 (16 ago):
 +
:* Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
 +
 
:* Resumo dos Tipos predefinidos.
 
:* Resumo dos Tipos predefinidos.
 
{| class="wikitable sortable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
 
{| class="wikitable sortable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
Linha 434: Linha 441:
 
|-
 
|-
 
| FLOAT || float_pkg + (2008) || ieee || Números de ponto flutuante  || sintetizável
 
| FLOAT || float_pkg + (2008) || ieee || Números de ponto flutuante  || sintetizável
 +
|}
  
|}
 
  
;Aula 9 (12 mar):
 
* '''Desafio''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 5 vagas. Cada entrada x(n) está alta '1' se a vaga está vazia. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias.
 
:'''Importante''':  O ''don't care'' não funciona como se espera para uma entrada, por isso, use ''don't care'' apenas para saídas. 
 
x = "1----" -- não funciona em VHDL
 
*Se quiser mesmo usar ''don't care'' em entradas use a função '''std_match''' do pacote '''numeric_std'''
 
std_match(x, "1----") -- funciona em VHDL
 
*Faça a simulação do circuito para ver se está funcionando,
 
[[Arquivo:vagas5.png | 800px]]
 
* Os alunos foram liberados para assistir uma palestra pelos 30 anos do campus SJE as 14h00.
 
  
;Aula 10 (14 mar):
 
 
:* Tipos definidos pelo usuário:  
 
:* Tipos definidos pelo usuário:  
 
::* Escalares (Inteiros e Enumerados)
 
::* Escalares (Inteiros e Enumerados)
Linha 458: Linha 455:
 
Ver pag. 60 a 73 de <ref name="PEDRONI2010b"/>
 
Ver pag. 60 a 73 de <ref name="PEDRONI2010b"/>
  
;Aula 11 (16 mar):
+
<!--
:* Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
+
;Aula 9 (12 mar):
 
+
* '''Desafio''' - Fazer um circuito que detecte se existe alguma vaga vazia em um lote de 5 vagas. Cada entrada x(n) está alta '1' se a vaga está vazia. A saída y estará alta '1' sempre que houver uma ou mais vagas vazias.
*Qualificação de tipos, conversão de tipos (automática, casting e funções de conversão).
+
:'''Importante''': O ''don't care'' não funciona como se espera para uma entrada, por isso, use ''don't care'' apenas para saídas. 
:* Resumo das funções de conversão de tipos (Tabela 3.10) e ver [[Aritmética com vetores em VDHL]]
+
x = "1----" -- não funciona em VHDL
 +
*Se quiser mesmo usar ''don't care'' em entradas use a função '''std_match''' do pacote '''numeric_std'''
 +
std_match(x, "1----") -- funciona em VHDL
 +
*Faça a simulação do circuito para ver se está funcionando,
 +
[[Arquivo:vagas5.png | 800px]]
 +
-->
 +
 
 +
;Aula 10 e 11 (22 e 23 ago):
 +
*Qualificação de tipos, conversão de tipos (automática, casting e funções de conversão).
 +
:* Resumo das funções de conversão de tipos (Tabela 3.10) e ver [[Aritmética com vetores em VDHL]]
 
:* Exercicio: Multiplicador/Divisor/Somador/Subtrator com sinal e sem sinal (entradas do tipo STD_LOGIC VECTOR)
 
:* Exercicio: Multiplicador/Divisor/Somador/Subtrator com sinal e sem sinal (entradas do tipo STD_LOGIC VECTOR)
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
Linha 486: Linha 492:
 
:: Ver pag. 73 a 78 de <ref name="PEDRONI2010b"/>
 
:: Ver pag. 73 a 78 de <ref name="PEDRONI2010b"/>
  
;Aula 12 e 13 (21 e 26 mar):
+
 
 +
;Aula 12 (24 ago):
 
*Operadores em VHDL.
 
*Operadores em VHDL.
 
:* Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
 
:* Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
Linha 524: Linha 531:
 
:: Ver pag. 91 a 108 de <ref name="PEDRONI2010b"/>
 
:: Ver pag. 91 a 108 de <ref name="PEDRONI2010b"/>
  
;Aula 14 (28 mar):
+
;Aula 13 (29 ago):
 
*Atributos em VHDL.
 
*Atributos em VHDL.
 +
:* Atributos predefinidos:
 +
::*tipo escalar e enumerados; tipo array; de sinal;
 
:* Atributos de síntese:  
 
:* Atributos de síntese:  
 
::* '''ATTRIBUTE enum_encoding''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_enum_encoding.htm]
 
::* '''ATTRIBUTE enum_encoding''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_enum_encoding.htm]
 
::* '''ATTRIBUTE chip_pin''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_chip.htm]
 
::* '''ATTRIBUTE chip_pin''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_chip.htm]
 
::* '''ATTRIBUTE keep''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_keep.htm]
 
::* '''ATTRIBUTE keep''' [http://quartushelp.altera.com/15.0/mergedProjects/hdl/vhdl/vhdl_file_dir_keep.htm]
 +
 +
 +
;Aula 14 (30 ago):
 +
*Atributos em VHDL.
 
:::* Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
 
:::* Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
 
:::* Exemplo 5.8 Gerador de Pulsos estreitos
 
:::* Exemplo 5.8 Gerador de Pulsos estreitos
Linha 568: Linha 581:
 
<center> [[Arquivo:Ex4_5_NopruneAttribute.png| Noprune Attribute| 400 px]] <br> '''Fig 14. Technology Map do Circuito com Attribute Noprune '''</center>:: Ver pag. 91 a 111 de <ref name="PEDRONI2010b"/>
 
<center> [[Arquivo:Ex4_5_NopruneAttribute.png| Noprune Attribute| 400 px]] <br> '''Fig 14. Technology Map do Circuito com Attribute Noprune '''</center>:: Ver pag. 91 a 111 de <ref name="PEDRONI2010b"/>
  
;Aula 15 (2 abr):
+
:Ver: [[Medição de tempos de propagação em circuitos combinacionais]]
*Atributos em VHDL.
+
 
:* Atributos predefinidos: tipo escalar e enumerados; tipo array; de sinal;
+
;Aula 15 (5 set):
:* Exemplo 4.2 (Simulação funcional)
+
 
 
:* Atributos definidos pelo usuário;
 
:* Atributos definidos pelo usuário;
 
Uso da instrução ALIAS.
 
Uso da instrução ALIAS.
Linha 591: Linha 604:
 
</syntaxhighlight>
 
</syntaxhighlight>
 
:: '''NOTA''': No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0).  Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
 
:: '''NOTA''': No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0).  Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
-->
+
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
 
{{collapse top| Unidade 4 - Código Concorrente}}
 
{{collapse top| Unidade 4 - Código Concorrente}}
 +
 
===Unidade 4 - Código Concorrente===
 
===Unidade 4 - Código Concorrente===
 
* 4 AULAS + 1 Revisão (UN2-4) + 1 Avaliação A1
 
* 4 AULAS + 1 Revisão (UN2-4) + 1 Avaliação A1
<!--
+
 
;Aula  15 - cont  (2 abr):
+
;Aula  16 - (6 set):
 
*Código Concorrente.
 
*Código Concorrente.
 
:* Uso de Operadores
 
:* Uso de Operadores
Linha 624: Linha 638:
 
</syntaxhighlight>
 
</syntaxhighlight>
 
::*Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES.
 
::*Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES.
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]'''. Mas ATENÇÃO, faltam as virgulas após cada escolha.
+
::* No QuartusII existe um template pronto para ser utilizado em: '''[Edit > Insert Template >  Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]'''. '''Mas ATENÇÃO, faltam as virgulas após cada escolha'''.
  
 
:* Exemplo 5.1 + 5.2 mux: com 3 tipos de arquiteturas (com portas, com WHEN-ELSE, com WITH-SELECT)  
 
:* Exemplo 5.1 + 5.2 mux: com 3 tipos de arquiteturas (com portas, com WHEN-ELSE, com WITH-SELECT)  
Linha 640: Linha 654:
 
</syntaxhighlight>
 
</syntaxhighlight>
 
::Ver pag. 121 a 127 de <ref name="PEDRONI2010b"/>
 
::Ver pag. 121 a 127 de <ref name="PEDRONI2010b"/>
 
+
;Aula  17  (10 set):
;Aula  16  (4 abr):
+
:* Uso da instrução FOR-GENERATE
*Código Concorrente.
+
<syntaxhighlight lang=vhdl>  
:*Exemplo de uso de operadores e instrução WITH-SELECT.
+
label: FOR identificador IN faixa GENERATE
::Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
+
   [Parte_Declarativa
::*Fazer as seguintes alterações do código da ALU:
 
:::* Inclusão de um sinal que indica "erro" quando ocorre ''overflow''/''underflow'' nas operações de soma, incremento ou decremento.
 
:::* Inclusão de um circuito que satura o sinal no máximo positivo ou negativo nas situações de erro.
 
:::* Teste da ALU usando simulação funcional.
 
 
 
;Aula  17  (9 abr):
 
:* Uso da instrução FOR-GENERATE
 
<i><code>  
 
label: FOR identificador IN faixa GENERATE
 
   [Parte_Declarativa
 
 
BEGIN]
 
BEGIN]
 
   Instruções_concorrentes
 
   Instruções_concorrentes
 
   ...
 
   ...
 
END GENERATE [label];
 
END GENERATE [label];
</syntaxhighlight> </i>
+
</syntaxhighlight>  
  
;Aula  18  (11 abr):
+
:*Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
*Código Concorrente.
 
:*Exemplo 5.4 - Decodificador genérico de endereços.
 
:*Ver pag. 127 a 134 de <ref name="PEDRONI2010b"/>
 
  
* Implementação de circuitos aritméticos com operadores.
 
:* Para o uso dos operadores o mais adequado é utilizar o padrão industrial '''STD_LOGIC_VECTOR'''.  Internamente os valores das portas devem ser convertidos ou para valores '''INTEGER''' ou para '''UNSIGNED'''/'''SIGNED'''.  para tal é necessário utilizar a biblioteca ''numeric_std''.
 
:* Também é fundamental considerar a faixa de valores coberta por <math> N </math> bits.  Para tipos '''UNSIGNED''' a faixa é de <math> 0  </math> até <math>  2^{N}-1 </math>, enquanto que para '''SIGNED''' a faixa é de <math> -2^{N-1} </math> até <math> 2^{N-1}-1 </math>.  Assim com 3 bits é possível representar valores entre -4 até 3 com um tipo SIGNED e 0 até 7 com um tipo UNSIGNED.
 
:* Para uso adequado dos operadores também é necessário considerar o tamanho (número de bits) requerido para o resultado em função do tamanho dos operandos.
 
::*Ver a declaração das funções "+", "-", "*" e "/" no PACKAGE [[Numeric std.vhd]]
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
function "+" (L, R: UNSIGNED) return UNSIGNED;
+
---------------------
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
+
-- FILE my_pkg.vhd --
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
+
---------------------
function "-" (L, R: UNSIGNED) return UNSIGNED;
+
library ieee;
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
+
use ieee.std_logic_1164.all;
  -- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
+
 
function "*" (L, R: UNSIGNED) return UNSIGNED;
+
package my_pkg is
  -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
+
type a_slv is array(natural range <>) of std_logic_vector (3 downto 0);
  -- Result: Performs the multiplication operation on two UNSIGNED vectors
+
end package;
  --         that may possibly be of different lengths.
+
</syntaxhighlight>
function "/" (L, R: UNSIGNED) return UNSIGNED;
+
 
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
+
<syntaxhighlight lang=vhdl>
  -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
+
---------------------------
  -- NOTE: If second argument is zero for "/" operator, a severity level of ERROR is issued.
+
-- FILE vector_adder.vhd --
</syntaxhighlight>
+
---------------------------
::* Para operações de "+" ou "-": O tamanho do resultado é igual ao tamanho do maior operando.  
+
library ieee work;
:::Exemplo: r[7..0] = a[7..0] + b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8 bits.
+
use ieee.std_logic_1164.all;
::* Para a operações "*": O tamanho do resultado é igual a soma do tamanho dos dois operandos.
+
use ieee.numeric_std.all;
:::Exemplo: r[12..0] = a[7..0] * b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8+5 = 13 bits.
+
use work.my_pkg.all;
::* Para "/": O tamanho do resultado é igual ao tamanho do numerador.
+
 
:::Exemplo: r[5..0] = a[5..0] / b[8..0]; a -> 6 bits; b -> 9 bits então r -> 6 bits.
+
entity vector_adder is
:* No caso da operações de "*" e "/" não ocorre ''overflow'', no entanto no caso da "+" e "-", o ''overflow/underflow'' pode ocorrer e precisa ser tratado. Isso pode ser feito acrescentando um bit adicional a saída para conter o ''overflow'' ou então sinalizar a sua ocorrência com um bit na saída do circuito. Note que no caso em que ocorrem sucessivas somas, é impraticável ficar aumentando o número de bits para evitar o ''overflow'', de modo que a sinalização do ''overflow'' ou uso de escalas, ou representação em ponto fixo ou ponto flutuante podem ser as soluções a serem adotadas.
+
generic (N : natural := 4);
:* No caso das operações de "+" e "-" também pode ser necessário tratar os sinais de ''carry in'' e ''carry out'', que permitem ampliar o tamanho de um somador realizando a sua conexão em cascata, ao mesmo tempo que tratam o ''overflow''.
+
port (
 +
a   : in a_slv (0 to N-1);
 +
soma : out std_logic_vector (3 downto 0));
 +
end entity;
  
::Exemplo 5.7 - Somador/Subtrator Recomendado.
+
-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.
:*Ver pag. 139 a 140 de <ref name="PEDRONI2010b"/>
 
  
;Aula  19 (13 abr):
+
architecture ifsc_v1 of vector_adder is
*Código Concorrente.
+
signal soma_sig : signed(3 downto 0);
* Exemplo Conversor de binário para sete segmentos. 
+
begin
:* Implemente em VHDL um conversor de binário para sete segmentos, conforme a tabela abaixo. 
+
-- soma_sig <= signed(a(0)) + signed(a(1)) 
<center>
+
-- soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2))
:[[Arquivo:bin2ssd.png | 400px]]
+
soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) + signed(a(3));
 +
soma <= std_logic_vector(soma_sig);
 +
end architecture;
 +
 
 +
-- Versão que realiza a soma usando um FOR GENERATE
 +
architecture ifsc_v2 of vector_adder is
 +
 +
begin
 +
 
 +
 
 +
end architecture;
  
:<small>FONTE: https://www.arduinoecia.com.br/2016/08/cd4511-arduino-display-7-segmentos.html</small>
+
</syntaxhighlight>
</center>
 
:* Use como entrada x[3..0] no lugar de D..A
 
:* Use como saídas y[0..6] no lugar de a..g
 
:* Se a entrada estiver com um valor binário entre 10 e 15, a saída deverá mostra a letra "E".
 
:* O circuito deverá ser programado no kit DE2-115.  Use as chaves SW0 a SW4 para as entradas, e o Display HEX0 para a saída. 
 
:* Para facilitar a visualização adicione 1 LED em cada entrada para mostrar o estado das chaves.  Use o LED imediatamente acima da chave.
 
:* Antes de programar confira se os pinos não usados estão no terceiro estado.
 
:* Verifique se o display acende conforme indicado na tabela. 
 
:* Se houver algum problema corrija o código e reprograme o hardware.
 
:* Consulte também a página [[Display de 7 segmentos]]
 
-->
 
{{collapse bottom}}
 
  
{{collapse top| Unidade 5 - Código Sequencial}}
 
===Unidade 5 - Código Sequencial===
 
* 7 AULAS
 
<!--
 
;Aula 20 (16 abr):
 
*Código Sequencial.
 
:*Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
 
:*Diferenças entre os objetos SIGNAL e VARIABLE
 
:*Tipos de elementos de memória: Latch x Flip-flop
 
::* Latch D
 
::* Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
 
:*Seção de código sequencial '''PROCESS''': lista de sensibilidade
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
+
---------------------------
            [parte_declarativa]
+
-- FILE vector_adder.vhd --
        BEGIN
+
---------------------------
            afirmação_sequencial;
+
configuration ifsc_cfg of vector_adder is
            afirmação_sequencial;
+
-- for ifsc_v1 end for;
            ...
+
for ifsc_v2 end for;
        END PROCESS [rótulo];
+
end configuration;
</syntaxhighlight>
 
:*Instrução '''IF'''
 
<syntaxhighlight lang=vhdl>
 
[rótulo:] IF condição THEN
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
        ELSIF condição THEN
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
        ELSE
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
        END IF [rótulo];
 
 
</syntaxhighlight>
 
</syntaxhighlight>
:*Exemplos:
 
::* DFFs with Reset and Clear (Variação Ex 6.1),
 
::* Contador Básico 0-N (baseado no Ex.6.2)
 
::* Registrador de deslocamento (Ex.6.3)
 
:*Ver pag. 161 a 160 de <ref name="PEDRONI2010b"/>
 
  
;Aula 21 e 22 (18 e 23 abr):
+
:*Ver pag. 127 a 134 de <ref name="PEDRONI2010b"/>
*Código Sequencial.
 
:*Instrução '''WAIT''': WAIT UNTIL, WAIT FOR (simulação apenas),  WAIT ON (não implementada no Quartus II).
 
::Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
 
::Algumas instruções de '''WAIT''' serão utilizadas na criação de '''TestBench''' em VHDL para a simulação com o '''MODELSIM'''.
 
  
:*Instruções do tipo '''LOOP''': LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
 
::*LOOP incondicional:
 
<syntaxhighlight lang=vhdl>
 
[rótulo:] LOOP
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
::*FOR-LOOP:
+
;Aula  18  (12 set):
<syntaxhighlight lang=vhdl>
+
*Código Concorrente.
[rótulo:] FOR identificador IN faixa LOOP
+
:*Exemplo 5.4 - Decodificador genérico de endereços.
            afirmação_sequencial;
+
:*Exemplo de uso de operadores e instrução WITH-SELECT.
            afirmação_sequencial;
+
::Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
            ...
+
::*Fazer as seguintes alterações do código da ALU:
          END LOOP [rótulo];
+
:::* Inclusão de um sinal que indica "erro" quando ocorre ''overflow''/''underflow'' nas operações de soma, incremento ou decremento.
</syntaxhighlight>
+
:::* Inclusão de um circuito que satura o sinal no máximo positivo ou negativo nas situações de erro.
 +
:::* Teste da ALU usando simulação funcional.
 +
 
 +
;Aula 19 (19 set):
 +
* Implmentação de conversor Binário para Gray
 +
 
 +
* Implementação de conversor Gray para Binário
 +
 
 +
* Implementação de incrementador Gray
 +
:*simulação funcional e temporal dos circuitos
 +
:*medição dos tempos de propagação.
  
::*WHILE-LOOP:
+
;Aula  20  (20 set):
<syntaxhighlight lang=vhdl>
+
Aula suspensa - Participação no SEPEI
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
 
            afirmação_sequencial;
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
::*LOOP com EXIT:
+
;Aula  21  (21 set):
<syntaxhighlight lang=vhdl>
+
*Código Concorrente.
[rótulo:] [FOR identificador IN faixa] LOOP
 
            afirmação_sequencial;
 
            EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
 
            afirmação_sequencial;
 
            ...
 
          END LOOP [rótulo];
 
</syntaxhighlight>
 
  
::*LOOP com NEXT:
+
* Implementação de circuitos aritméticos com operadores.
 +
:* Para o uso dos operadores o mais adequado é utilizar o padrão industrial '''STD_LOGIC_VECTOR'''.  Internamente os valores das portas devem ser convertidos ou para valores '''INTEGER''' ou para '''UNSIGNED'''/'''SIGNED'''.  para tal é necessário utilizar a biblioteca ''numeric_std''.
 +
:* Também é fundamental considerar a faixa de valores coberta por <math> N </math> bits.  Para tipos '''UNSIGNED''' a faixa é de <math> 0  </math> até <math>  2^{N}-1 </math>, enquanto que para '''SIGNED''' a faixa é de <math> -2^{N-1} </math> até <math> 2^{N-1}-1 </math>.  Assim com 3 bits é possível representar valores entre -4 até 3 com um tipo SIGNED e 0 até 7 com um tipo UNSIGNED.
 +
:* Para uso adequado dos operadores também é necessário considerar o tamanho (número de bits) requerido para o resultado em função do tamanho dos operandos.
 +
::*Ver a declaração das funções "+", "-", "*" e "/" no PACKAGE [[Numeric std.vhd]]
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] [FOR identificador IN faixa] LOOP
+
function "+" (L, R: UNSIGNED) return UNSIGNED;
            afirmação_sequencial;
+
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
            NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
+
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
                                              -- e incrementa o "identificador".
+
function "-" (L, R: UNSIGNED) return UNSIGNED;
            afirmação_sequencial;
+
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
            ...
+
  -- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
          END LOOP [rótulo];
+
function "*" (L, R: UNSIGNED) return UNSIGNED;
 +
  -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
 +
  -- Result: Performs the multiplication operation on two UNSIGNED vectors
 +
  --        that may possibly be of different lengths.
 +
function "/" (L, R: UNSIGNED) return UNSIGNED;
 +
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
 +
  -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
 +
  -- NOTE: If second argument is zero for "/" operator, a severity level of ERROR is issued.
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
::* Para operações de "+" ou "-": O tamanho do resultado é igual ao tamanho do maior operando.
 +
:::Exemplo: r[7..0] = a[7..0] + b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8 bits.
 +
::* Para a operações "*": O tamanho do resultado é igual a soma do tamanho dos dois operandos.
 +
:::Exemplo: r[12..0] = a[7..0] * b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8+5 = 13 bits.
 +
::* Para "/": O tamanho do resultado é igual ao tamanho do numerador.
 +
:::Exemplo: r[5..0] = a[5..0] / b[8..0]; a -> 6 bits; b -> 9 bits então r -> 6 bits.
 +
:* No caso da operações de "*" e "/" não ocorre ''overflow'', no entanto no caso da "+" e "-", o ''overflow/underflow'' pode ocorrer e precisa ser tratado. Isso pode ser feito acrescentando um bit adicional a saída para conter o ''overflow'' ou então sinalizar a sua ocorrência com um bit na saída do circuito. Note que no caso em que ocorrem sucessivas somas, é impraticável ficar aumentando o número de bits para evitar o ''overflow'', de modo que a sinalização do ''overflow'' ou uso de escalas, ou representação em ponto fixo ou ponto flutuante podem ser as soluções a serem adotadas.
 +
:* No caso das operações de "+" e "-" também pode ser necessário tratar os sinais de ''carry in'' e ''carry out'', que permitem ampliar o tamanho de um somador realizando a sua conexão em cascata, ao mesmo tempo que tratam o ''overflow''.
  
:* Exemplos:  
+
*Exemplo 5.7 - Somador/Subtrator Recomendado.
::*Carry-Ripple Adder (FOR-LOOP) (Ex 6.4)
+
:* Implementar o circuito com 4 bits na entrada e 5 bits na saída (com signed e unsigned)
::* Leading Zeros (LOOP com EXIT) (Ex 6.5)
+
:* Implementar o circuito com 4 bits na entrada e 4 bits na saída, e uma saída de cout para soma e para subtração
:*Ver pag. 161 a 164 de <ref name="PEDRONI2010b"/>
+
:* Implementar um circuito multiplicador com 4 bits na entrada e 7 bits na saída.
 +
:* em todos os ciruitos acima onde possa ocorrer overflow(underflow), acrescentar um circuito para saturar a saída no máximo(mínimo).
 +
:* em todos os ciruitos acima onde possa ocorrer overflow(underflow), acrescentar um bit de saída que indique quando o resultado está com erro.
 +
 
 +
:*Ver pag. 139 a 140 de <ref name="PEDRONI2010b"/>
  
;Aula 23 (25 abr):
+
{{collapse bottom}}
Revisão - UN2, UN3, UN4
 
  
;Aula 24 (27 abr):
+
{{collapse top| Unidade 5 - Código Sequencial}}
Avaliação A1 - UN2, UN3, UN4
 
  
;Aula 25 (2 mai):
+
===Unidade 5 - Código Sequencial===
 +
* 7 AULAS
 +
;Aula 23 (26 set):
 
*Código Sequencial.
 
*Código Sequencial.
:*Instrução '''CASE'''
+
:*Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
 +
:*Diferenças entre os objetos SIGNAL e VARIABLE
 +
:*Tipos de elementos de memória: Latch x Flip-flop
 +
::* Latch D
 +
::* Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
 +
:*Seção de código sequencial '''PROCESS''': lista de sensibilidade
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] CASE expressão IS
+
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
                  WHEN valor => atribuições;                            -- valor único
+
            [parte_declarativa]
                  ...
+
        BEGIN
                  WHEN valor1 | valor2 | ... | valorN  => atribuições; -- lista de valores
+
            afirmação_sequencial;
                  ...
+
            afirmação_sequencial;
                  WHEN valor1 TO valor2    => atribuições;             -- faixa de valores
+
             ...
                  ...
+
        END PROCESS [rótulo];
 
 
          END CASE;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
:* Contador de 0 a 9 segundos com saída SSD (Ex 6.6).
+
:*Instrução '''IF'''
::* v1 - Unir os códigos anteriormente realizados para o contador de 0 a 9 (código sequencial), e o conversor de binário para sete segmentos (código concorrente)
+
<syntaxhighlight lang=vhdl>
::* v2 - mudar o conversor para SSD de forma a usar código sequencial (CASE), acrescentar uma saída ERROR que indique quando o número é fora da faixa de 0-9.
+
[rótulo:] IF condição THEN
::* v3 - incluir o conversor para SSD de forma a usar código sequencial (CASE)no mesmo processo que tem o contador de 0-9.
+
            afirmação_sequencial;
 
+
            afirmação_sequencial;
:* Contador de 0 a max com saída em código Gray (Exercício 6.2).
+
            ...
 
+
        ELSIF condição THEN
;Aula 26 (7 mai):
+
            afirmação_sequencial;
*Especificação do relógio HH:MM:SS
+
            afirmação_sequencial;
*Uso do Modelsim para simulação.
+
            ...
:*Ver [[Seguindo o tutorial da ALTERA para o MODELSIM]], para utilizar o básico do MODELSIM.
+
        ELSE
:* [http://www.vhdl.us/Book_VHDL_2ed_unrestricted/Pedroni_VHDL_2ed_tutorial_ModelSim_10.1d_v2.pdf Pedroni VHDL 2ed Tutorial of ModelSim 10.1d]
+
            afirmação_sequencial;
:* [https://www.youtube.com/watch?v=Z8whdGa7RtY ModelSim Video Tutorial] - Kirk Weedman
+
            afirmação_sequencial;
:* [[Documentação do ModelSim]], para conhecer mais a fundo o MODELSIM.
+
            ...
 +
        END IF [rótulo];
 +
</syntaxhighlight>
 +
:*Exemplos:  
 +
::* DFFs with Reset and Clear (Variação Ex 6.1),
 +
:*Ver pag. 161 a 160 de <ref name="PEDRONI2010b"/>
 +
 
  
;Aula 27 e 28 (9 e 11 mai):
+
;Aula 24 (27 set):
* Implementação do relógio HH:MM:SS
+
*Código Sequencial.
* Simulação do relógio com ModelSim. Na simulação definir o clk1sec com duração de 1 segundo e iniciar o circuito com um reset durante 10 ps.
+
:* Contador Básico 0-N (baseado no Ex.6.2)
-->
+
:* Registrador de deslocamento (Ex.6.3)
{{collapse bottom}}
+
:Exercício:
 +
::*Implementar um conversor de transmissão de dados com entrada paralela e saída serial.
 +
::* Simular o circuito com 8 bits (ver detalhes no [https://moodle.sj.ifsc.edu.br/mod/forum/discuss.php?d=1219 moodle])
  
{{collapse top| Unidade 6 - Projeto a nível de Sistema}}
+
;Aula 25 (3 out):
===Unidade 6 - Projeto a nível de Sistema===
+
*Código Sequencial
* 7 AULAS + 1 Revisão (UN5-6) + 1 Avaliação A2
+
:*Instrução '''WAIT''': WAIT UNTIL, WAIT FOR (simulação apenas),  WAIT ON (não implementada no Quartus II).
<!--
+
::Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
; Aula 29 (14 mai):
+
::Algumas instruções de '''WAIT''' serão utilizadas na criação de '''TestBench''' em VHDL para a simulação com o '''MODELSIM'''.
*Projeto a nível de Sistema.
+
:*Instruções do tipo '''LOOP''': LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
:* O '''PACKAGE''' e '''PACKAGE BODY''': onde declarar e como usar.
+
::*LOOP incondicional:
:* O '''COMPONENT''':  declaração (cópia da '''ENTITY''') e instanciação.
+
<syntaxhighlight lang=vhdl>
::* Associação dos nomes das portas aos sinais. PORT -> '''PORT MAP''':
+
[rótulo:] LOOP
::* Mapeamento por posição e nominal.
+
            afirmação_sequencial;
::* Métodos de declaração de '''COMPONENT'''.
+
            afirmação_sequencial;
:::*Exemplo: Registrador Circular Ex. 8.2
+
            ...
:* Ver pag. 201 a 208 de <ref name="PEDRONI2010b"/>
+
          END LOOP [rótulo];
 +
</syntaxhighlight>
  
; Aula 30 (16 mai):
+
::*FOR-LOOP:
*Projeto a nível de Sistema.
+
<syntaxhighlight lang=vhdl>
:* Criação de '''COMPONENT''' redimensionáveis.  GENERIC -> '''GENERIC MAP'''
+
[rótulo:] FOR identificador IN faixa LOOP
::*Exemplo: Porta E com N entradas.
+
            afirmação_sequencial;
::*Exemplo: Detector de Paridade Ex. 8.3
+
            afirmação_sequencial;
:* Instanciação de '''COMPONENT''' com '''GENERATE'''.
+
            ...
:* Ver pag. 208 a 213 de <ref name="PEDRONI2010b"/>
+
          END LOOP [rótulo];
 +
</syntaxhighlight>
  
:* Uso da instrução '''CONFIGURATION'''.
+
::*WHILE-LOOP:
::* Ligação direta: ARCHITECTURE-ENTITY.
+
<syntaxhighlight lang=vhdl>
::* Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
+
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
 +
            afirmação_sequencial;
 +
            afirmação_sequencial;
 +
            ...
 +
          END LOOP [rótulo];
 +
</syntaxhighlight>
  
;Aula 31 e 32 (21 e 23 mai):
+
::*LOOP com EXIT:
* Implementação do relógio HH:MM:SS usando componentes.
+
<syntaxhighlight lang=vhdl>
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
+
[rótulo:] [FOR identificador IN faixa] LOOP
entity div_clk is
+
            afirmação_sequencial;
entrada clk_in
+
            EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
saída clk_out
+
            afirmação_sequencial;
: Componente 2 - Contador de 00 a 99 com saída em BCD, com o valor final configurável pelos '''generic U e D'''
+
            ...
entity countBCD99 is
+
          END LOOP [rótulo];
entradas clk_in
+
</syntaxhighlight>
saídas bcd_u, bcd_d
+
 
+
::*LOOP com NEXT:
: Componente 3 - Conversor de BCD para SSD, com um porta '''ac_ccn''' para selecionar Anodo ou Catodo Comum.
+
<syntaxhighlight lang=vhdl>
entity bcd2ssd is
+
[rótulo:] [FOR identificador IN faixa] LOOP
entradas bcd, ac_ccn
+
            afirmação_sequencial;
saídas ssd
+
            NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
 
+
                                              -- e incrementa o "identificador".
* Simulação do relógio com ModelSim. Na simulação definir o clk1sec com duração de 1 segundo e iniciar o circuito com um reset durante 10 ps.
+
            afirmação_sequencial;
*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit DE2-115 para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0-5[0-6]: PIN_G18 - PIN_H22 ...). Note que a chave usada para o rst é normalmente alta, e portanto é necessário acrescentar um inversor a esta entrada.
+
            ...
 +
          END LOOP [rótulo];
 +
</syntaxhighlight>
  
 +
:* Exemplos:
 +
::*Carry-Ripple Adder (FOR-LOOP) (Ex 6.4)
 +
::* Leading Zeros (LOOP com EXIT) (Ex 6.5)
 +
:*Ver pag. 161 a 164 de <ref name="PEDRONI2010b"/>
 +
 +
;Aula 26 (04 out):
 +
Avaliação A1 - UN2, UN3, UN4
  
;Aula 36 a 38 (4 a 8 jun):
 
* Implementação de um serializador e um deserializador usando componentes.
 
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
 
entity div_clk is
 
entrada clk_in
 
saída clk_out
 
: Componente 2 - Registrador de deslocamento com entrada serial e paralelo e saída serial e paralelo com N FF, configurável pelo '''generic N'''
 
entity shift_reg is
 
entradas clk_in, rst_in, ena_in, d_in[N-1..0], s_in
 
saídas d_out[N-1..0], s_out
 
 
: Componente 3 - Porta paralela com N entradas, configurável pelo '''generic N'''.
 
entity port_par is
 
entradas clk_in, rst, ena, d_in[N-1..0]
 
saídas d_out[N-1..0]
 
  
* Simulação do serializador e  deserializador com ModelSim.
+
;Aula 27 (05 out):
* Integração dos dois componentes em um único componente.
+
*Código Sequencial.
 
+
:*Instrução '''CASE'''  
;Aula 27 (7 mai):
 
* Correção da Avaliação A1. [https://moodle.sj.ifsc.edu.br/mod/assign/view.php?id=3062&action=grading Conceitos na Avaliação]
 
* Implementar um circuito de relógio que conte HH:MM:SS.
 
 
 
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
 
:::*SOLUÇÃO: modificar o  contador para um valor maximo menor (0 a 5-1), e a  mesmo tempo mudar o período de clock para 200 ms => 5 Hz.  Notar que a simulação é extremamente rápida neste caso.
 
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit DE2-115 para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]]. Utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0[0-6]: PIN_G18 - PIN_H22). Note que a chave usada para o rst é normalmente alta, e portanto é necessário acrescentar um inversor a esta entrada.
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- Renomeie a porta rst para rst_in.
+
[rótulo:] CASE expressão IS
PORT (
+
                  WHEN valor => atribuições;                            -- valor único
clk, rst_in : IN BIT;
+
                  ...
 +
                  WHEN valor1 | valor2 | ... | valorN  => atribuições;  -- lista de valores
 +
                  ...
 +
                  WHEN valor1 TO valor2    => atribuições;             -- faixa de valores
 +
                  ...
  
--Crie um sinal interno para inverter o clock
+
          END CASE;
ARCHITECTURE counter OF slow_counter IS
 
signal rst : BIT;
 
BEGIN
 
rst <= not rst_in;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
:::*Programe o FPGA usando o programador e verifique se a contagem está correta (a cada segundo).
+
:* Contador de 0 a 9 segundos com saída SSD (Ex 6.6).
 +
::* v1 - Unir o código de um contador de 0 a 9 (código sequencial), e o conversor de binário para sete segmentos (código concorrente)
 +
::* v2 - mudar o conversor para SSD de forma a usar código sequencial (CASE).
 +
::* v3 - incluir o conversor para SSD de forma a usar código sequencial (CASE)no mesmo processo que tem o contador de 0-9.
 +
:* Exercício 6.2: projetar um Contador de 0 a MAX com saída em código Gray.
 +
 
 +
:* Projetar um circuito que permita obter um clock com período de 1 segundo, a partir de um sinal de clock com frequência de fclk = 50MHz.
 +
 
  
;Aula 29,30 (   ):
+
;Aula 28 (10 out):
 
*Código Sequencial.
 
*Código Sequencial.
* Projeto de relógio HH:MM:SS com conversor para 7 segmentos. Implementar um relógio usando um COMPONENT contador BCD de 00 a 99Instancie 3 COMPONENTES para implementar a hora, o minuto e o segundoO relógio deve contar de 00:00:00 até 23:59:59. Os mostradores de 7 segmentos devem ser implementados com um COMPONENT "bin2ssd" que converte o número 0 a 9 em bits do código SSD.
+
:* Implementar no FPGA o circuito com contador de 0 a 9 segundos com saída SSD e clk de entrada de 50MHz.
:* Simular o relógio HH:MM:SS com Modelsim, duração de 49 horas.
+
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
:* Implementar o relógio no kit DE2-115 (opcional) - neste caso é necessário incluir um divisor de clock antes do contador de segundo.
+
:::*SOLUÇÃO: modificar o contador para um valor máximo menor (0 a 50-1)Notar que a simulação é extremamente rápida neste caso.
 +
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit Mercúrio  IV para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]].  Utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1, ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
 +
:::* Como o conversor de binário para ssd realizado anteriormente tinha lógica negativa ('0' acende, '1' apaga), será necessário inverter todas as saídas
 +
ssd_out <= not ssd;
 +
:::*Se quiser usar um led na matriz de led é necessário colocar '0' da coluna do Led e '1' na linha correspondente, ou seja utilizar um segundo pino para acender o led.
  
::*Exercício 28.1: Inserir as duas soluções de ARCHITECTURE do exercício EX 6.10 (Timer de dois digitos) em uma única ENTITY. Utilizar CONFIGURATION para fazer a ligação com a arquitetura desejada.
 
  
 +
;Aula 29 (11 out):
 +
*Projete um contador BCD de 00 a 99 configurável.
 +
::Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
entity counter60seg is
+
entity Count00_99 is
  generic (fclk: integer := 2); -- valor usado para simulação.
+
port (
--  generic (fclk: integer := 50_000_000); -- valor usado para implementação com clk de 50 MHz.
+
clk : in std_logic;
  port (
+
bcd_U : out std_logic_vector(3 downto 0);
    clk, rst : in std_logic;
+
bcd_D : out std_logic_vector(3 downto 0)
    ssd_un, ssd_dz: out std_logic_vector(6 downto 0));
+
);
end entity ;
+
end entity;
 +
</syntaxhighlight>
 +
 
 +
*Implementação de um relógio contador BCD de segundos.
 +
:*Utilizando o sistema anterior, inclua um contador em BCD de dois dígitos que permita fazer a contagem de 00 a 99, onde o valor final é configurável.
 +
::Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.  
 +
<syntaxhighlight lang=vhdl>
 +
entity Timer00_99seg is
 +
port (
 +
clk50MHz : in std_logic;
 +
clk1seg : out std_logic;
 +
SSD_Useg : out std_logic_vector(0 to 6);
 +
SSD_Dseg : out std_logic_vector(0 to 6)  
 +
);
 +
end entity;
 +
</syntaxhighlight>
 +
::configure o FPGA do kit Mercúrio  IV para implementar este circuito.  Utilize os mostradores ssd DISP0_D e DISP1_D.
  
architecture version1 of counter60seg is
+
*Uso do Modelsim para simulação funcional.
-- Versão proposta por Gabriel Cantu (processo único)
+
:*Ver [[Seguindo o tutorial da ALTERA para o MODELSIM]], para utilizar o básico do MODELSIM.
  ...
+
:* [http://www.vhdl.us/Book_VHDL_2ed_unrestricted/Pedroni_VHDL_2ed_tutorial_ModelSim_10.1d_v2.pdf Pedroni VHDL 2ed Tutorial of ModelSim 10.1d]
begin
+
:* [https://www.youtube.com/watch?v=Z8whdGa7RtY ModelSim Video Tutorial] - Kirk Weedman
  ...
+
:*[[Media:modelsim_tut.pdf |ModelSim® Tutorial]] -v10.0d
end architecture ;
+
:* [[Documentação do ModelSim]], para conhecer mais a fundo o MODELSIM.
  
architecture version2 of counter60seg is
+
;Aula 30 (17 Out):
-- Versão proposta por Gustavo Constante (5 processos)
+
*Projeto e simulação com Modelsim do sistema Timer00-99 com display de 7 segmentos e divisor de clock.
  ...
+
*Na simulação definir o clk50MHz como 100ms para  produzir um clk1sec com duração de 1 segundo.
begin
+
*Corrigir o primeiro período de clock de clk1sec. É necessário fazer com que o sinal seja alto primeiro e depois vá para baixo de modo a garantir que a transição positiva ocorra depois de 1 segundo.
  ...
+
*'''IMPORTANTE''': Também é necessário incluir um RESET em cada circuito que seja sequencial. Também é necessário iniciar o circuito com um reset de 10 ps na simulação.
end architecture ;
+
*Perceber a necessidade de parametrizar o conversor de bcd2ssd para permitir displays do tipo CA e AA. (ler [[Display de 7 segmentos]])
 +
*Perceber a necessidade de incluir um sinal que indique o final da contagem no counter00_99. Esse sinal poderá ser usado como clock no relógio a ser projetado.
  
configuration counter60seg_cfg of counter60seg is
+
{{collapse bottom}}
for version1 end for;
+
 
-- for version2 end for;
+
{{collapse top|  Unidade 6 - Projeto a nível de Sistema}}
end configuration;
 
  
</syntaxhighlight>
+
===Unidade 6 - Projeto a nível de Sistema===
:* Exercício 28.2: Componentização do circuito conversor de binário para display de sete segmentos.  Também modifique a descrição vhdl de modo que a interface dos componentes sejam do tipo '''std_logic''' ou '''std_logic_vector'''.
+
* 7 AULAS + 1 Revisão (UN5-6) + 1 Avaliação A2
<syntaxhighlight lang=vhdl>
+
[[Arquivo:rtl_timer99sec.png | 800 px]]
entity bin2ssd is
 
  port (
 
    bin: in std_logic_vector(3 downto 0);
 
    ssd: out std_logic_vector(6 downto 0));
 
end entity ;
 
  
architecture with_case of bin2ssd is
+
  Os alunos deverão se organizar em equipes de no máximo 2 alunos,
  ...
+
Realizar um circuito de relógio de 24 horas com saída em display de 7 segmentos, conforme especificado no Moodle.  
begin
+
O circuito deverá ser apresentado funcional, mostrado com simulação no Modelsim e implementado no FPGA DE2-115.
  ...
+
Este projeto será feito extra-classe, e haverá bonus para a próxima avaliação para as equipes que:
end architecture ;
+
1 - apresentar o primeiro circuito OK.  
</syntaxhighlight>
+
2 - apresentar o circuito com o menor número de elementos lógicos (se diferente do primeiro).
 +
3 - apresentar o circuito com maior frequencia máxima (se diferente dos anteriores).
 +
Independente do bonus todas equipes deverão entregar o circuito na atividade indicada no Moodle.
  
; Aula 31 (16 Nov):
+
; Aula 31 (19 out):
 
*Projeto a nível de Sistema.
 
*Projeto a nível de Sistema.
FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
+
:* O '''PACKAGE''' e '''PACKAGE BODY''': onde declarar e como usar.
 
+
:* O '''COMPONENT''':  declaração (cópia da '''ENTITY''') e instanciação.  
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
+
Assim a entity Timer00_99
 
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
[rótulo:] assert condição_booleana
+
ENTITY timer00_99seg
[report mensagem]
+
GENERIC        (D : INTEGER;
[severity nivel_severidade];
+
fclock : INTEGER;
 +
U : INTEGER);
 +
PORT            (clk50MHz : IN STD_LOGIC;
 +
RST : IN STD_LOGIC;
 +
clk1seg : OUT STD_LOGIC;
 +
SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
 +
SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
 +
END ENTITY;
 +
</syntaxhighlight>
 +
Será declarada como um COMPONENT
 +
<syntaxhighlight lang=vhdl>
 +
COMPONENT timer00_99seg
 +
GENERIC        (D : INTEGER;
 +
fclock : INTEGER;
 +
U : INTEGER);
 +
PORT            (clk50MHz : IN STD_LOGIC;
 +
RST : IN STD_LOGIC;
 +
clk1seg : OUT STD_LOGIC;
 +
SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
 +
SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
 +
END COMPONENT;
 +
</syntaxhighlight>
 +
::* Associação dos nomes das portas aos sinais. PORT -> '''PORT MAP''':
 +
::* Mapeamento por posição e nominal.
 +
<syntaxhighlight lang=vhdl>
 +
comp1 : timer00_99seg
 +
GENERIC MAP    (2, 10, 3)
 +
PORT MAP      (clk50MHz,RST, clk1seg, SSD_Dseg, SSD_Useg);
 +
</syntaxhighlight>
 +
 
 +
<syntaxhighlight lang=vhdl>
 +
comp1 : timer00_99seg
 +
GENERIC MAP    ( D => 2, U => 3,
 +
                fclock => 10,
 +
PORT MAP      ( clk50MHz => clk50MHz,
 +
RST => RST,
 +
clk1seg => clk1seg,
 +
SSD_Dseg => SSD_Dseg,
 +
SSD_Useg => SSD_Useg);
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
::* Métodos de declaração de '''COMPONENT'''.
 +
:::*Exemplo: Registrador Circular Ex. 8.2
 +
:* Criação de '''COMPONENT''' redimensionáveis.  GENERIC -> '''GENERIC MAP'''
 +
::*Exemplo: Porta E com N entradas.
 +
::*Exemplo: Detector de Paridade Ex. 8.3
 +
:* Ver pag. 201 a 213 de <ref name="PEDRONI2010b"/>
  
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
 
  
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou  FAILURE. NOTE é o valor "default".
+
; Aula 32-33 (24-25 out):
 +
:* Instanciação de '''COMPONENT''' com '''GENERATE'''.
  
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.
+
:* Uso da instrução '''CONFIGURATION'''.
 +
::* Ligação direta: ARCHITECTURE-ENTITY.
 +
::* Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
 +
 
 +
* Implementação de um timer de 99 segundos usando componentes.
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
function nome_funçao (lista_parametros_entrada) return tipo_saida is
+
entity timer00_99seg IS
  declarações
+
generic (fclk2 : natural := 50, D : natural := 5; U : natural := 9);
begin
+
port
  afirmações sequenciais
+
(
end function;
+
clk50MHz :  in  STD_LOGIC;
 +
clk_1seg: out STD_LOGIC;
 +
ssd_D :  out  STD_LOGIC_VECTOR(0 TO 6);
 +
ssd_U :  out  STD_LOGIC_VECTOR(0 TO 6)
 +
);
 +
end entity;
 +
</syntaxhighlight>
 +
;OBS: 
 +
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
 +
*Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
 +
 
 +
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo parâmetro '''fclk2'''
 +
<syntaxhighlight lang=vhdl>
 +
component div_clk is
 +
generic (fclk2 : natural := 50);      -- frequecia para simulacao
 +
port (
 +
clk : in std_logic;
 +
clk_out : out std_logic
 +
);
 +
end component;
 +
;OBS: 
 +
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
 +
 
 +
</syntaxhighlight>
 +
: Componente 2 - Contador de 00 a 99 com saída em BCD, com o valor final configurável pelos parâmetros '''D e U'''
 +
<syntaxhighlight lang=vhdl>
 +
component count00_99 is
 +
generic (D : natural := 9; U : natural := 9);
 +
port (
 +
clk : in std_logic;
 +
clk_out : out std_logic;
 +
bcd_U : out std_logic_vector(3 downto 0);
 +
bcd_D : out std_logic_vector(3 downto 0)
 +
);
 +
end component;
 +
</syntaxhighlight>
 +
;OBS: 
 +
*Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
 +
 +
: Componente 3 - Conversor de BIN para SSD, com um parâmetro configurável '''ac_ccn''' para selecionar Anodo ou Catodo Comum.
 +
<syntaxhighlight lang=vhdl>
 +
component bin2ssd is
 +
  generic (ac_ccn : natural := 0);
 +
  port (
 +
    bin_in : in std_logic_vector(3 downto 0);
 +
    ssd_out : out std_logic_vector(0 to 6)
 +
  );
 +
end component;
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
;OBS: 
 +
*O valor ac_ccn é utilizado para configurar o circuito entre ativo alto para display de catodo comum (ac_ccn=0), ou ativo baixo para display de anodo comum (ac_ccn=1).
  
:* Uso de '''FUNCTION''' e '''ASSERT'''. 
 
::* Exemplo: Declaração em ARCHITECTURE Ex.9.1
 
::* Exemplo: Declaração em PACKAGE Ex. 9.2
 
::* Exemplo: Declaração em ENTITY Ex. 9.3
 
  
Abaixo segue um exemplo de cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
+
O timer deve utilizar os componentes acima de modo a resultar em um RTL semelhante ao mostrado abaixo.
 +
<center>
 +
[[Arquivo:rtl_timer99sec.png | 600 px]]
 +
</center>
 +
* Simulação do timer com ModelSim. Na simulação definir o clk50MHz com duração de 10 ms. Faça uma simulação de pelo menos 60 segundos.
  
<syntaxhighlight lang=vhdl>
+
<center>
function log2c (n : integer) return integer is
+
[[Arquivo:sim_timer99sec.png | 800 px]]
variable m , p : integer;
+
</center>
begin
+
OBS: É recomendável inserir um sinal de RESET em todos os circuitos sequenciais e ao iniciar a simulação do circuito começar com RESET ativo  durante 10 ps.
m := 0;
+
 
p : = 1;
+
*Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito.  Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]]. 
while p < n loop
+
:* Ao utilizar o kit DE2-115 da TERASIC, utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0-5[0-6]: PIN_G18 - PIN_H22 ...).
m : = m + 1;
+
{{collapse top| definição dos pinos}}
p := p * 2;
+
<code>
end loop;
+
</syntaxhighlight>
return m;
+
{{collapse bottom}}
end log2c;
+
:*Ao utilizar o kit Mercúrio IV da MACNICA, utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1,  ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
 +
{{collapse top| definição dos pinos}}
 +
<code>
 +
set_location_assignment PIN_T1 -to clk50MHz
 +
set_location_assignment PIN_V21 -to rst_in
 +
set_location_assignment PIN_R5 -to ssd_D[0]
 +
set_location_assignment PIN_T5 -to ssd_D[1]
 +
set_location_assignment PIN_T3 -to ssd_D[2]
 +
set_location_assignment PIN_T4 -to ssd_D[3]
 +
set_location_assignment PIN_M6 -to ssd_D[4]
 +
set_location_assignment PIN_N7 -to ssd_D[5]
 +
set_location_assignment PIN_N6 -to ssd_D[6]
 +
set_location_assignment PIN_V2 -to ssd_U[0]
 +
set_location_assignment PIN_V1 -to ssd_U[1]
 +
set_location_assignment PIN_U2 -to ssd_U[2]
 +
set_location_assignment PIN_U1 -to ssd_U[3]
 +
set_location_assignment PIN_Y2 -to ssd_U[4]
 +
set_location_assignment PIN_Y1 -to ssd_U[5]
 +
set_location_assignment PIN_W2 -to ssd_U[6]
 +
set_location_assignment PIN_W1 -to clk_1seg
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
{{collapse bottom}}
 +
:* Analise o diagrama esquemático como funcionam as chaves e também o tipo de display. Note que no projeot o signal RST foi descrito como normalmente ALTO, podendo ser necessário acrescentar um inversor para ter o funcionamento correto. O [[Display de 7 segmentos]] da DE2-115 é do tipo catodo comum, enquanto que na MERCURIO IV ele é do tipo anado comum.
 +
 +
; Aula 36 (31 Out):
 +
*Projeto a nível de Sistema.
 +
FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.
  
; Aula 32 (19 mai):
+
A instrução '''ASSERT''' é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:
:*Uso de '''PROCEDURE'''.
 
  
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
+
[rótulo:] assert condição_booleana
  declarações
+
[report mensagem]
begin
+
[severity nivel_severidade];
  afirmações sequenciais
 
end procedure;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
  
::*Exemplo: min_max Ex.9.4
+
A mensagem pode ser criada usando STRINGs que podem ser concatenadas.
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
 
:* Ver pag. 213 a 239 de <ref name="PEDRONI2010b"/>)
 
  
; Aula 33 e 34 (23 e 26 mai):
+
O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou  FAILURE. ERROR é o valor "default" [https://www.ics.uci.edu/~jmoorkan/vhdlref/assert.html].
:*Uso de '''FUNCTION''' e '''PROCEDURE'''.
 
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
 
-->
 
{{collapse bottom}}
 
  
{{collapse top| Unidade 7 - Testbench}}
+
:* A '''FUNCTION''': declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.
===Unidade 7 - Testbench===
 
* 2 AULAS
 
<!--
 
; Aula 40 (13 jun):
 
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
 
:*Tipos de simulação:
 
::1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
 
::2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
 
::3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída  - OK já visto
 
::4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
 
::5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
 
::6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
 
::7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
 
::8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
 
:*Exemplo de Conversor Binário para Gray (Exercício 9.7)
 
  
:*Para usar o ModelSim 10.1d na CLOUD-IFSC(191.36.8.33) abra um terminal e digite:
+
<syntaxhighlight lang=vhdl>
/opt/altera/13.0sp1/modelsim_ae/bin/vsim
+
function nome_funçao (lista_parametros_entrada) return tipo_saida is
:* ou
+
  declarações
vsim
+
begin
:*Para usar o ModelSim 10.1d nos Laboratórios do IFSC campus São José abra um terminal e digite:
+
  afirmações sequenciais
/opt/altera/13.0/quartus/modelsim_ae/linux/vsim
+
end function;
 +
</syntaxhighlight>
  
:*Ver também [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_func_sim.htm Performing a Functional Simulation with the ModelSim-Altera Software], e [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_timing_sim.htm Performing a Timing Simulation with the ModelSim-Altera Software].
+
:* Uso de '''FUNCTION''' e '''ASSERT'''. 
 +
::* Exemplo: Declaração em ARCHITECTURE Ex.9.1
 +
::* Exemplo: Declaração em PACKAGE Ex. 9.2
 +
::* Exemplo: Declaração em ENTITY Ex. 9.3
  
; Aula 36 (30 Mai):
+
Abaixo segue um exemplo de cálculo do '''log2''' de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
 
::*Implementar um sistema constituído de dois circuitos de SHIFT RIGHT LOGICAL - SRL_L com entradas de DADOS, LOAD e RESET, e saída paralela. Crie um componente SOMADOR constituido de um somado do tipo UNSIGNED. Conecte as saídas dos SRL_L ao SOMADOR.
 
<center>
 
[[Arquivo:slr_adder.png]]
 
</center>
 
  
::*Criar um testbench em VHDL para testar cada componente (SOMADOR, o SRL_L) e o sistema final. (faça com o test bench teste no minimo o funcionamento da entrada LOAD para 2 entradas diferentes de DADOS, o RESET, o SOMADOR e o funcionamento do SRL_L para uma sequencia de pelo menos N clocks, onde N é o número de bits das entradas.
+
<syntaxhighlight lang=vhdl>
 
+
function log2c (n : integer) return integer is
::Note que no final deste projeto, deverão existir pelo menos os arquivos de projeto '''srl_l.vhd''', '''somador.vhd''', '''sistema.vhd''' e os arquivos de teste bench correspondentes  '''srl_l_tb.vht''', '''somador_tb.vht''', '''sistema_tb.vht'''.  Para facilitar a simulação no Modelsim recomenda-se criar também os arquivos de script '''srl_l.do''', '''somador.do''', '''sistema.do'''.
+
variable m , p : integer;
::'''DICA''': Use o comando do Quartus II para gerar um template para o testbench. Selecione cada componente como TOP LEVEL e faça a ANÁLISE E SÍNTESE em seguida ('''Processing > Start > Start Test Bench Template Writer''').
+
begin
:* Criação de sinais para Test Bench em VHDL
+
m := 0;
 +
p : = 1;
 +
while p < n loop
 +
m : = m + 1;
 +
p := p * 2;
 +
end loop;
 +
return m;
 +
end log2c;
 +
</syntaxhighlight>
 +
<!--
 +
; Aula 37 (19 mai):
 +
:*Uso de '''PROCEDURE'''.
  
{{collapse top | Geração de sinal de clock}}
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- DECLARAR
+
procedure nome_procedimento (lista_parâmetros_entrada, lista_parâmetros_saída) is
constant tclk: time := 1 ns;
+
  declarações
signal clk  : std_logic := '0';
+
begin
 +
  afirmações sequenciais
 +
end procedure;
 +
</syntaxhighlight>
  
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
+
::*Exemplo: min_max Ex.9.4
clk <= not clk after tclk;
+
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
 +
:* Ver pag. 213 a 239 de <ref name="PEDRONI2010b"/>)
  
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
+
; Aula 33 e 34 (23 e 26 mai):
PROCESS                                             
+
:*Uso de '''FUNCTION''' e '''PROCEDURE'''.
BEGIN 
+
::*Exercícios: 9.1 a 9.4, 9.6 a 9.9
  clk <= '1';
 
  wait for tclk;
 
  clk <= '0';
 
  wait for tclk;
 
END PROCESS;
 
</syntaxhighlight>
 
{{collapse bottom}}
 
  
{{collapse top | Geração de sinal de reset}}
+
;Aula 36 a 38 (4 a 8 jun):
<syntaxhighlight lang=vhdl>
+
* Implementação de um serializador e um deserializador usando componentes.
-- DECLARAR
+
: Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo '''generic N'''
constant treset: time := 100 ps;
+
entity div_clk is
signal reset  : std_logic;
+
entrada clk_in
 +
saída clk_out
 +
: Componente 2 - Registrador de deslocamento com entrada serial e paralelo e saída serial e paralelo com N FF, configurável pelo '''generic N'''
 +
entity shift_reg is
 +
entradas clk_in, rst_in, ena_in, d_in[N-1..0], s_in
 +
saídas d_out[N-1..0], s_out
 +
 +
: Componente 3 - Porta paralela com N entradas, configurável pelo '''generic N'''.
 +
entity port_par is
 +
entradas clk_in, rst, ena, d_in[N-1..0]
 +
saídas d_out[N-1..0]
 +
 
 +
* Simulação do serializador e  deserializador com ModelSim.
 +
* Integração dos dois componentes em um único componente.
  
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
+
;Aula 27 (7 mai):
reset <= '1', '0' after treset;
+
* Implementar um circuito de relógio que conte HH:MM:SS.
  
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
+
:::*PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz,  verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
PROCESS                                             
+
:::*SOLUÇÃO: modificar o  contador para um valor maximo menor (0 a 5-1), e a  mesmo tempo mudar o período de clock para 200 ms => 5 Hz.  Notar que a simulação é extremamente rápida neste caso.
BEGIN  
+
:::*Após verificar que o circuito funciona "simulado", configurar o FPGA do kit DE2-115 para implementar este circuito. As informações necessárias estão em [[Preparando para gravar o circuito lógico no FPGA]]. Utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0[0-6]: PIN_G18 - PIN_H22). Note que a chave usada para o rst é normalmente alta, e portanto é necessário acrescentar um inversor a esta entrada.
  reset <= '1';
+
<syntaxhighlight lang=vhdl>
  wait for treset;
+
-- Renomeie a porta rst para rst_in.
  reset <= '0';
+
PORT (
  wait;
+
clk, rst_in : IN BIT;
END PROCESS;      
+
 
 +
--Crie um sinal interno para inverter o clock
 +
ARCHITECTURE counter OF slow_counter IS
 +
signal rst : BIT;
 +
BEGIN
 +
rst <= not rst_in;
 
</syntaxhighlight>
 
</syntaxhighlight>
{{collapse bottom}}
+
:::*Programe o FPGA usando o programador e verifique se a contagem está correta (a cada segundo).
 +
 
 +
;Aula 29,30 (  ):
 +
*Código Sequencial.
 +
* Projeto de relógio HH:MM:SS com conversor para 7 segmentos. Implementar um relógio usando um COMPONENT contador BCD de 00 a 99.  Instancie 3 COMPONENTES para implementar a hora, o minuto e o segundo.  O relógio deve contar de 00:00:00 até 23:59:59. Os mostradores de 7 segmentos devem ser implementados com um COMPONENT "bin2ssd" que converte o número 0 a 9 em bits do código SSD.
 +
:* Simular o relógio HH:MM:SS com Modelsim, duração de 49 horas.
 +
:* Implementar o relógio no kit DE2-115 (opcional) - neste caso é necessário incluir um divisor de clock antes do contador de segundo.
 +
 
 +
::*Exercício 28.1: Inserir as duas soluções de ARCHITECTURE do exercício EX 6.10 (Timer de dois digitos) em uma única ENTITY. Utilizar CONFIGURATION para fazer a ligação com a arquitetura desejada.
  
{{collapse top | Geração de uma sequencia binária}}
 
 
<syntaxhighlight lang=vhdl>
 
<syntaxhighlight lang=vhdl>
-- DECLARAR
+
entity counter60seg is
constant t_a: time := 100 ps;
+
  generic (fclk: integer := 2); -- valor usado para simulação.
constant Nbits: natural := 8;
+
--  generic (fclk: integer := 50_000_000); -- valor usado para implementação com clk de 50 MHz.
signal a  : std_logic_vector(Nbits-1 downto 0);
+
  port (
 +
    clk, rst : in std_logic;
 +
    ssd_un, ssd_dz: out std_logic_vector(6 downto 0));
 +
end entity ;
  
-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
+
architecture version1 of counter60seg is
PROCESS                                             
+
-- Versão proposta por Gabriel Cantu (processo único)
BEGIN 
+
  ...
for i in 0 to 2**Nbits-1 loop
+
begin
a <= std_logic_vector(to_unsigned(i,Nbits));
+
  ...
wait for t_a;
+
end architecture ;
end loop;
 
END PROCESS;
 
</syntaxhighlight>
 
{{collapse bottom}}
 
  
{{collapse top | Geração de uma sequencia pseudoaleatória}}
+
architecture version2 of counter60seg is
<syntaxhighlight lang=vhdl>
+
-- Versão proposta por Gustavo Constante (5 processos)
-- DECLARAR
+
  ...
constant t_a: time := 100 ps;
+
begin
constant Nbits: natural := 8;
+
  ...
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');
+
end architecture ;
  
-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
+
configuration counter60seg_cfg of counter60seg is
-- USANDO UM CONTADOR LFSR
+
for version1 end for;
PROCESS                                             
+
-- for version2 end for;
BEGIN 
+
end configuration;
for i in 0 to 2**Nbits-1 loop
 
a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1);  -- para 8 bits
 
wait for t_a;
 
end loop;
 
END PROCESS;
 
 
</syntaxhighlight>
 
</syntaxhighlight>
{{collapse bottom}}
 
 
; Aula 37 (2 Jun):
 
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
 
:* Tempo livre para a resolução do Exercicio proposto.
 
 
-->
 
-->
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| Unidade 8 - Maquinas de Estado Finitas}}
+
{{collapse top| Unidade 7 - Maquinas de Estado Finitas}}
===Unidade 8 - Maquinas de Estado Finitas===
+
 
 +
===Unidade 7 - Maquinas de Estado Finitas===
 
* 2 AULAS
 
* 2 AULAS
<!--
+
; Aula 37 (7 nov):
; Aula 46 (29 jun):
 
 
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
 
*Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
 
:* O que é uma FSM - Finite State Machine
 
:* O que é uma FSM - Finite State Machine
Linha 1 272: Linha 1 390:
  
 
:* Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
 
:* Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
-->
+
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| Unidade 9 - Projeto Final}}
+
{{collapse top| Unidade 8 - Testbench}}
===Unidade 9 - Projeto Final===
+
 
* 6 AULAS + (5 AULAS LIVRES)
+
===Unidade 8 - Testbench===
 +
* 1 AULA
 +
; Aula 42 (28 nov):
 +
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
 +
:*Tipos de simulação:
 +
::1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
 +
::2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída  - OK já visto desde CIL29003
 +
::3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída  - OK já visto
 +
::4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
 +
::5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
 +
::6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
 +
::7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
 +
::8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
 +
:* Simular a maquina de venda de doces Ex 11.1
 
<!--
 
<!--
;Aula 39 (11 jun):
+
:*Exemplo de Conversor Binário para Gray (Exercício 9.7)
* Projeto Final - Especificação do sistema de controle de tráfego
 
  
;Aula 41 a 44 (20 jun a 25 jun):
+
:*Para usar o ModelSim 10.1d na CLOUD-IFSC(191.36.8.33) abra um terminal e digite:
* Projeto Final - Sistema de controle de tráfego
+
/opt/altera/13.0sp1/modelsim_ae/bin/vsim
:* trabalho desenvolvido em equipes
+
:* ou
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
+
vsim
 +
:*Para usar o ModelSim 10.1d nos Laboratórios do IFSC campus São José abra um terminal e digite:
 +
/opt/altera/13.0/quartus/modelsim_ae/linux/vsim
  
;Aula 47 a 49 (30 jun a 02 jul):
+
:*Ver também [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_func_sim.htm Performing a Functional Simulation with the ModelSim-Altera Software], e [http://quartushelp.altera.com/13.1/mergedProjects/eda/simulation/modelsim/eda_pro_msim_timing_sim.htm Performing a Timing Simulation with the ModelSim-Altera Software].
* Projeto Final - Sistema de controle de tráfego
 
:* trabalho desenvolvido em equipes
 
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
 
  
;Aula 50 (04 jul):
+
*Simulação de sistemas digitais com Modelsim e testbench em VHDL
* Projeto Final - Sistema de controle de tráfego
+
::*Implementar um sistema constituído de dois circuitos de  SHIFT RIGHT LOGICAL - SRL_L com entradas de DADOS, LOAD e RESET, e saída paralela. Crie um componente SOMADOR constituido de um somado do tipo UNSIGNED. Conecte as saídas dos SRL_L ao SOMADOR.
:*Apresentação do sistema no kit FPGA pelas equipes.
+
<center>
 +
[[Arquivo:slr_adder.png]]
 +
</center>
  
-->
+
::*Criar um testbench em VHDL para testar cada componente (SOMADOR, o SRL_L) e o sistema final. (faça com o test bench teste no minimo o funcionamento da entrada LOAD para 2 entradas diferentes de DADOS, o RESET, o SOMADOR e o funcionamento do SRL_L para uma sequencia de pelo menos N clocks, onde N é o número de bits das entradas.
{{collapse bottom}}
 
  
==Avaliações==
+
::Note que no final deste projeto, deverão existir pelo menos os arquivos de projeto '''srl_l.vhd''', '''somador.vhd''', '''sistema.vhd''' e os arquivos de teste bench correspondentes '''srl_l_tb.vht''', '''somador_tb.vht''', '''sistema_tb.vht'''.  Para facilitar a simulação no Modelsim recomenda-se criar também os arquivos de script '''srl_l.do''', '''somador.do''', '''sistema.do'''.
===Atividade Relâmpago (AR)===
 
As atividades relâmpago são atividades avaliativas opcionais que darão BôNUS adicionais ao aluno na próxima avaliação. Elas normalmente consistem de soluções simples para algum problema ou sistema.  Elas são enunciadas na aula, e o prazo e a entrega serão definidos no Moodle. Não são aceitas entregas tardias, e apenas 2 alunos podem receber o bonus. A pontuação das atividades é informada a cada atividade.
 
  
===Avaliação A1===
+
-->
*Conteúdo avaliado serão as unidades 2 a 4
+
::'''DICA''': Use o comando do Quartus II para gerar um template para o testbench. Selecione cada componente como TOP LEVEL e faça a ANÁLISE E SÍNTESE em seguida ('''Processing > Start > Start Test Bench Template Writer''').
*Data da avaliação (XX/XX/2018) - Local: LabReCom.
+
:* Criação de sinais para Test Bench em VHDL
  
===Avaliação A2===
+
{{collapse top | Geração de sinal de clock}}
*Conteúdo avaliado serão as unidades 5 a 7
+
<syntaxhighlight lang=vhdl>
*Data da avaliação (XX/XX/2018) - Local: LabReCom.
+
-- DECLARAR
 +
constant tclk: time := 1 ns;
 +
signal clk  : std_logic := '0';
  
===Recuperação R12===
+
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
*Conteúdo avaliado será as unidades 2 a 7
+
clk <= not clk after tclk;
*Data da avaliação (XX/XX/2018) - Local: LabReCom.
 
::As avaliações A1 e A2 são com consulta apenas as folhas de consulta entregues  [[Media:VHDL_QRC-Qualis.pdf | VHDL QUICK REFERENCE CARD]] e [[Media:VHDL1164_QRC-Qualis.pdf | VHDL 1164 PACKAGES QUICK REFERENCE CARD]], e as [[Media:Tabelas_Pedroni.pdf | tabelas das figuras 3.6, 3.10 e 4.1]] do livro do Pedroni.  Dica use também como fonte de consulta os '''templates''' do Quartus.
 
::Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
 
  
===Projeto Final (PF)===
+
-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
* O projeto final é uma atividade de avaliação desenvolvida em equipe, e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre.  A avaliação do projeto final corresponde a no mínimo 45% do peso no conceito final. São avaliados no projeto final os quesitos: 1) Sistema desenvolvido (projeto, simulação e realização, demostração do harware); 2) Relatório com a documentação completa do projeto; 3)
+
PROCESS                                             
<!--
+
BEGIN 
{{collapse top | expand = true | APF - Projeto Final - Sistema de controle de tráfego  (Entrega e prazos ver Moodle)}}
+
  clk <= '1';
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de controle de tráfego das vias indicadas na figura abaixo:
+
  wait for tclk;
<center>
+
  clk <= '0';
[[Arquivo:APF_DLP29006_2018_1.png | 600 px]]
+
  wait for tclk;
:Figura 1 - Diagrama de descrição física dos semáforos.
+
END PROCESS;
:FONTE: Maria Fernanda Tutui
+
</syntaxhighlight>
</center>
+
{{collapse bottom}}
* O primeiro semáforo (esquerda) é para a passagem de pedestres.  Ele é controlado por botões que os pedestres acionam do lado 1 ou 2 da passagem de pedestres para solicitar a travessia.  Ao ser acionado o semáforo pode: 1) liberar imediatamente a passagem do pedestre se não houver veículos circulando na via 1 e via 2.  2) aguardar até 2 minutos se houver veículos circulando.  A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos. 
 
:* Os grupos focais das vias 1 e 2 devem ser do tipo progressivo, mas podem funcionar de forma imediata quando não há veículos circulando. 
 
:* A passagem de pedestre tem: 1) um botão em cada lado da passagem; 2) um sistema para iluminação noturna da passagem de pedestre, 3) dois grupos focais simples (GFS) com lampadas verdes e vermelhas.
 
::* A iluminação deve acender assim que um botão for acionado e apagar novamente quando o GFS passar de verde para vermelho.
 
::* O tempo de passagem do pedestre é configurável (default = 15 s).
 
:* Se não houver acionamento do botão de solicitação de passagem do pedestre, as vias 1 e 2 devem permanecer sempre em verde.
 
* O segundo semáforo (direita) é para controlar a entrada dos veículos da via 3 para as vias 1 e 2.  Ele é controlado por um sensor de veículo na via 3.  Ao passar um veículo no sensor, o semáforo pode: 1) liberar imediatamente a passagem do veículo da via 3 se não houver veículos circulando na via 1 e via 2.  2) aguardar até 2 minutos se houver veículos circulando.  A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos. 
 
:* a conversão a direita da via 2 para a via 4 é livre indicada por um grupo focal apropriado.
 
 
<center>
 
:[[Arquivo:APF_DLP29006_2017_1_times.png | 900 px]]
 
:Figura 2 - Funcionamento de um GFS.
 
</center>
 
  
* O sistema de controle do semáforo poderá ser descrito através de máquinas de estado finita (FSM). Os grupos focais simples (GFS) deverão ser acionados por um sinal VD_VM.  Os grupos focais progressivos (GFP) para as cores verde e vermelho, deverão ser controlados por um subsistema adicional que controla o acendimento e desligamento dos leds a partir de um sinal VD_VM.
+
{{collapse top | Geração de sinal de reset}}
* Na figura abaixo está representado em AZUL o funcionamento do GFP, onde VD_VM é a saída da máquina de estado e os sinais "q(3..0)" são os acionamentos das lampadas do GFP.
+
<syntaxhighlight lang=vhdl>
<center>
+
-- DECLARAR
[[Arquivo:APF_DLP29006_2017_1_times_GFP.png | 900 px]]
+
constant treset: time := 100 ps;
:Figura 3 - Funcionamento de uma das colunas (verde ou vermelho) do GFP.
+
signal reset  : std_logic;
</center>
+
 
 +
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
 +
reset <= '1', '0' after treset;
  
* Para a FSM sugere-se utilizar GENERIC para definir os tempos de verde de cada VIA, e o tempo de amarelo de todas as VIAS.
+
-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
* Sugere-se fazer uma versão V1 do sistema apenas com GFS em todas as vias. Na versão V2 as vias 1 e 2 devem receber GFPs.
+
PROCESS                                             
:* Ao modificar o tipo de grupo focal recomenda-se mudar o projeto da maquina de estado de modo que passe a incluir o tempo progressivo de (4 x 0.5 seg).
+
BEGIN  
* O sistema de controle das FSM será baseado no valor de um timer de segundos externo a FSM (conforme mostrado em aula).
+
  reset <= '1';
* O sistema poderá ser implementado no kit FPGA DE2-115 (ou Mercurio IV) usando os leds disponíveis ou pinos da GPIO para acionar leds externos.
+
  wait for treset;
* Os sinais de relógio necessários deverão ser obtidos a partir do sinal de clock da placa de 50MHz. Durante as simulações esse circuito deverá ter seu valor alterado de modo a viabilizar a simulação.
+
  reset <= '0';
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente;
+
  wait;
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
+
END PROCESS;      
 +
</syntaxhighlight>
 
{{collapse bottom}}
 
{{collapse bottom}}
-->
 
  
===Atividades Extraclasse (AE)===
+
{{collapse top | Geração de uma sequencia binária}}
*Entrega dos Atividades Extraclasse  ao longo do semestre AE(0) a AE(N). A entrega, detalhes e prazos serão indicados na plataforma Moodle.
+
<syntaxhighlight lang=vhdl>
{{collapse top | expand= true | AE0 - Resumo expandido de artigo}}
+
-- DECLARAR
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas:
+
constant t_a: time := 100 ps;
:: OU [[Media:Artigo_DLP_Kamila2013.pdf | Dispositivos Lógicos Programáveis]] de Kamila Rose da Silva, IFSC.
+
constant Nbits: natural := 8;
:: OU [http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.3122 Kuon, Ian Carlos, Russell Tessier, and Jonathan Rose. 2008. “FPGA Architecture: Survey and Challenges.” Foundations and Trends in Electronic Design Automation 2 (2): 135–253],[http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.153.3122&rep=rep1&type=pdf].
+
signal a : std_logic_vector(Nbits-1 downto 0);
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br Plataforma Moodle de DLP29006], dentro do prazo indicado.
 
{{collapse bottom}}
 
  
<!--
+
-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
{{collapse top |AE0 - Resumo estendido de Artigo (Entrega e Prazo ver Moodle)}}
+
PROCESS                                             
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas [https://www.dropbox.com/s/wvl3cudgcpchstr/ARTIGO_ACADEMICO_DLP.pdf?dl=0 Dispositivos Lógicos Programáveis] de Kamila Rose da Silva, IFSC.
+
BEGIN 
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
+
for i in 0 to 2**Nbits-1 loop
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
+
a <= std_logic_vector(to_unsigned(i,Nbits));
 +
wait for t_a;
 +
end loop;
 +
END PROCESS;
 +
</syntaxhighlight>
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top | AE1 - Uso de código concorrente - ALU modificada (Entrega e Prazo ver Moodle)}}
+
{{collapse top | Geração de uma sequencia pseudoaleatória}}
*Implemente em VHDL uma Unidade de Lógica e Aritmética que tem como entrada os sinais A e B e que execute as seguintes instruções lógicas e aritméticas conforme o '''opcode''' de entrada:
+
<syntaxhighlight lang=vhdl>
 +
-- DECLARAR
 +
constant t_a: time := 100 ps;
 +
constant Nbits: natural := 8;
 +
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');
  
;Unidade Lógica:
+
-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
+
-- USANDO UM CONTADOR LFSR
! scope="col" width=25% align="center"| Instrução
+
PROCESS                                             
! scope="col" width=25% align="center"| Operação
+
BEGIN 
! scope="col" width=25% align="center"| opcode
+
for i in 0 to 2**Nbits-1 loop
! scope="col" width=25% align="center"| GRUPOS
+
a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1);  -- para 8 bits
|-
+
wait for t_a;
| Complemento de A || Y = not A || 0000 || 1, 2, 3
+
end loop;
|-
+
END PROCESS;
| Complemento de B || Y = not B || 0001 || 4, 5, 6
+
</syntaxhighlight>
|-
+
 
| And || Y = A nand B || 0010 || 1, 3
+
{{collapse bottom}}
|-
+
{{collapse bottom}}
| Nand || Y = A and B || 0011 || 2, 4
+
 
|-
+
{{collapse top| Unidade 9 - Projeto Final}}
| Or || Y = A nor B || 0100 || 5, 6
 
|-
 
| Nor || Y = A or B || 0101 || 1, 4
 
|-
 
| Xor || Y = A xor B || 0110 || 2, 5
 
|-
 
| Xnor || Y = A xnor B || 0111 || 3, 6
 
|}
 
  
;Unidade Aritmética: (onde os valores de A e B podem ser positivos ou negativos)
+
===Unidade 9 - Projeto Final===
 +
* 9 AULAS
 +
;Aula 37 (7 nov) (metade da aula):
 +
* Projeto Final - Especificação do sistema de controle de travessia de pedestres
  
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
+
;Aula 41 (22 nov):
! scope="col" width=25% align="center"| Instrução
+
* Projeto Final - Sistema de controle de travessia de pedestres
! scope="col" width=25% align="center"| Operação
+
:* trabalho desenvolvido em equipes
! scope="col" width=25% align="center"| opcode
+
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
! scope="col" width=25% align="center"| GRUPOS
+
 
|-
+
;Aula 43 e 44 (29 e 30 nov):
| Transfere A || Y = A || 1000 || 1, 2, 3, 4, 5, 6
+
* Projeto Final - Sistema de controle de travessia de pedestres
|-
+
:* trabalho desenvolvido em equipes
| Transfere B || Y = B || 1001 || 1, 2, 3, 4, 5, 6
+
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
|-
+
 
| complemento-2 de A || Y = -A || 1010 || 1, 2, 3
+
;Aula 45 e 46 (5 e 6 dez):
|-
+
* Projeto Final - Sistema de controle de travessia de pedestres
| complemento-2 de B || Y = -B || 1010 || 4, 5, 6
+
:* trabalho desenvolvido em equipes
|-
+
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
| Incrementa A || Y = A + 1 || 1011 || 1, 4
+
 
|-
+
;Aula 47 e 48 (12 e 13 dez):
| Decrementa A || Y = A - 1 || 1011 || 2, 5
+
* Projeto Final - Sistema de controle de travessia de pedestres
|-
+
:* trabalho desenvolvido em equipes
| Incrementa B || Y = B + 1 || 1100 || 3, 6
+
:* durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
|-
+
 
| Soma A e B|| Y = A + B || 1101 || 1, 2
+
;Aula 49 (14 dez):
|-
+
* Projeto Final - controle de travessia de pedestres
| Subtrai B de A|| Y = A - B || 1110 || 3, 4
+
:*Apresentação do sistema no kit FPGA pelas equipes.
|-
+
 
| Subtrai A de B|| Y = B - A || 1110 || 5, 6
+
{{collapse bottom}}
|-
+
 
| Dobra o valor A || Y = A * 2 || 1111 || 1, 2, 3
+
==Avaliações==
|-
+
===Atividade Relâmpago (AR)===
| Dobra o valor B || Y = B * 2 || 1111 || 4, 5, 6
+
As atividades relâmpago são atividades avaliativas opcionais que darão BôNUS adicionais ao aluno na próxima avaliação.  Elas normalmente consistem de soluções simples para algum problema ou sistema.  Elas são enunciadas na aula, e o prazo e a entrega serão definidos no Moodle.  Não são aceitas entregas tardias, e apenas 2 alunos podem receber o bonus.  A pontuação das atividades é informada a cada atividade.
|}
+
 
* A ENTITY deverá ter a seguinte interface:
+
===Avaliação A1===
<syntaxhighlight lang=matlab>
+
*Conteúdo avaliado serão as unidades 2 a 4 (cap 1 - 5)
ENTITY alu IS
+
*Data da avaliação (04/10/2018) - Local: LabReCom.
GENERIC (N: INTEGER := 4); --word bits
+
 
PORT (
+
===Avaliação A2===
A, B:  IN  STD_LOGIC_VECTOR (N-1 DOWNTO 0);
+
*Conteúdo avaliado serão as unidades 5 a 7 (Cap 6 a 9)
OPCODE: IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
+
*Data da avaliação (14/11/2018) - Local: LabReCom.
        ERRO:   OUT STD_LOGIC;
+
 
Y:      OUT STD_LOGIC_VECTOR (N-1 DOWNTO 0));
+
===Recuperação R12===
END ENTITY;
+
*Conteúdo avaliado será as unidades 2 a 7
</syntaxhighlight>
+
*Data da avaliação (18/12/2018 das 7h30 as 8h40) - Local: LabReCom.  
* Verifique qual é o seu grupo, você apenas precisa implementar e simular as funções indicadas para o ele.
+
 
* Proponha uma ARCHITECTURE que implemente a ALU (Dica: utilize internamente o tipo de dado tipo '''integer''' ou do tipo "SIGNED").  
+
::As avaliações A1 e A2 são com consulta apenas as folhas de consulta entregues  [[Media:VHDL_QRC-Qualis.pdf | VHDL QUICK REFERENCE CARD]] e [[Media:VHDL1164_QRC-Qualis.pdf | VHDL 1164 PACKAGES QUICK REFERENCE CARD]], e as [[Media:Tabelas_Pedroni.pdf | tabelas das figuras 3.6, 3.10 e 4.1]] do livro do Pedroni. Dica use também como fonte de consulta os '''templates''' do Quartus.
* Se na operação realizada o resultado em '''Y''' não estiver correto, a saída '''ERRO''' deve ser setada.
+
::Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.
* Anote o número de Elementos Lógicos (ou ALUTs) utilizados [Compilation Report > Flow Summary].  
 
* Verifique o código RTL gerado [Tools > Netlist Viewers > RTL Viewer]
 
* Faça a simulação funcional no QSIM  usando os valores mínimos e máximos e outros pares de valores representáveis e verifique se o resultado das operações está correto. Teste cada instrução (opcode) em separado.  Analise os resultados obtidos para entradas com 4 bits e verifique os casos em que há ''ERRO''.
 
* Escreva um relatório de no '''máximo 15''' páginas contendo: título, identificação, introdução, o desenvolvimento da atividade e resultados, conclusão. O relatório deve conter as simulações funcionais feitas e a análise dos resultados obtidos. Também é fundamental relate o número de elementos lógicos necessários, o tipo de FPGA utilizado, e o máximo tempo de propagação deste circuito.
 
* Salve a imagem do circuito RTL e envie com arquivo .'''PNG'''.
 
* A entrega do relatório em .'''PDF''' e do arquivo .'''QAR''' e .'''PNG''' deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 
{{collapse bottom}}
 
  
{{collapse top | expand = true | APF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)}}
+
===Projeto Final (PF)===
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono  mostrado na figura abaixo:
+
* O projeto final é uma atividade de avaliação desenvolvida em equipe, e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre.  A avaliação do projeto final corresponde a no mínimo 45% do peso no conceito final. São avaliados no projeto final os quesitos: 1) Sistema desenvolvido (projeto, simulação e realização, demostração do harware); 2) Relatório com a documentação completa do projeto; 3)
 +
 
 +
{{collapse top | expand = true | APF - Projeto Final - Sistema de controle de passagem de pedestre  (Entrega e prazos ver Moodle)}}
 +
* Cada  equipe de 2 ou 3 alunos deverá desenvolver um sistema de controle de passagem de pedestre.
 
<center>
 
<center>
[[Arquivo:APF_DLP29006_2017_2_Serial_Assincrono.png | 600 px]]
+
[[Arquivo:SemaforoPedestre2018-2.png | 1000px]] <br>
 +
''FONTE: Imagem cedida por Yan Lucas Martins e Guilherme José Salles Vieira''
 +
</center>
 +
* A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos. 
 +
Alguns detalhes gerais:
 +
* O semáforo de passagem de pedestres é controlado por botões que os pedestres acionam do lado 1 ou 2 da passagem de pedestres para solicitar a travessia.  Ao ser acionado o semáforo pode: 1) liberar imediatamente a passagem do pedestre se não houver veículos circulando na via 1 e via 2.  2) aguardar até T_espera segundos (configurável) se houver veículos circulando. 
 +
:* Os grupos focais das vias 1 e 2 devem ser do tipo progressivo (GFPv), usando um display com dois dígitos para indicar o tempo restante no estado verde ou vermelho. 
 +
:* A passagem de pedestre tem: 1) um botão em cada lado da passagem; 2) um sistema para iluminação noturna da passagem de pedestre, 3) dois grupos focais progressivos (GFPp) com lampadas verdes e vermelhas e um display com dois dígitos para indicar o tempo restante no estado verde; 4) um sistema que emite sons indicativos para auxilio aos deficientes visuais; 5) um sistema que emite vibrações mecânicas para auxilio aos deficientes auditivos e visuais.
 +
::* A iluminação deve acender assim que um botão for acionado e apagar novamente quando o GFPp passar de verde para vermelho.
 +
::* O tempo de passagem do pedestre (T_travessia) é configurável (default = 5s x Nvias).
 +
:* Se não houver acionamento do botão de solicitação de passagem do pedestre, as vias 1 e 2 devem permanecer sempre em verde.
  
'''Figura 1. Sistema de Comunicação Serial Assíncrono com Endereçamento de A para B'''
+
* O sistema de controle do semáforo poderá ser descrito através de máquinas de estado finita (FSM).
 +
* Para a FSM sugere-se utilizar GENERIC para definir os tempos tempos.
 +
* O sistema de controle das FSM será baseado no valor de um timer de segundos externo a FSM (conforme mostrado em aula).
 +
* O sistema será implementado no kit FPGA DE2-115 usando os leds disponíveis ou pinos da GPIO para acionar leds externos, e chaves para implementar os botões e sensores de veículos.
 +
* Os sinais de relógio necessários deverão ser obtidos a partir do sinal de clock da placa de 50MHz. Durante as simulações esse circuito deverá ter seu valor alterado de modo a viabilizar a simulação.
 +
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente;
 +
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
  
[[Arquivo:APF_DLP29006_2017_2_UART_TX.png | 600 px]]
+
;Ver inspirações adicionais para o projeto em:
 +
* [http://www.planalto.gov.br/ccivil_03/LEIS/L9503Compilado.htm  Código de Trânsito Brasileiro - LEI Nº 9.503, DE 23 DE SETEMBRO DE 1997], Art 68 a 71
 +
* Cálculo da distância de parada de um veículo [http://vias-seguras.com/educacao/aulas_de_educacao_no_transito/aula_09_velocidade_e_distancia_de_parada].
 +
* Exemplo de uma travessia de pedestre [https://www.google.co.uk/maps/@52.6247006,1.247869,3a,49.2y,188.5h,86.4t/data=!3m6!1e1!3m4!1sESWmhMS0FZQ0elZMfTGvMw!2e0!7i13312!8i6656]
 +
* Tipos de travessia de pedestre no Reino Unido [https://www.driving-school-beckenham.co.uk/pedestriancrossings.html]
  
'''Figura 2. Interface transmissora serial'''
+
;Serão dadas duas bonificações no projeto:
 +
1) ('''BONUS 1 ponto''') para a equipe que desenvolver a melhoria que for considerada a melhor pelo cliente.
 +
2) ('''BONUS 1 ponto''') para a equipe que usar o menor número de componentes no projeto (menor custo).
 +
{{collapse bottom}}
  
[[Arquivo:APF_DLP29006_2017_2_UART_RX.png | 600 px]]
+
===Atividades Extraclasse (AE)===
 +
*Entrega dos Atividades Extraclasse  ao longo do semestre AE(0) a AE(N). A entrega, detalhes e prazos serão indicados na plataforma Moodle.
 +
<!--
 +
{{collapse top |  AE0 - Resumo expandido de artigo}}
 +
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas:
 +
:: OU [[Media:Artigo_DLP_Kamila2013.pdf | Dispositivos Lógicos Programáveis]] de Kamila Rose da Silva, IFSC.
 +
:: OU [http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.3122 Kuon, Ian Carlos, Russell Tessier, and Jonathan Rose. 2008. “FPGA Architecture: Survey and Challenges.” Foundations and Trends in Electronic Design Automation 2 (2): 135–253],[http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.153.3122&rep=rep1&type=pdf].
 +
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 +
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br Plataforma Moodle de DLP29006], dentro do prazo indicado.
 +
{{collapse bottom}}
  
'''Figura 3. Interface receptora serial'''</center>
+
{{collapse top | AR3 - operações de SOMA ou SUBTRAÇÃO ou PRODUTO ou DIVISÃO}}
 +
*Implementar em VHDL uma unidade de processamento que efetue as operações de SOMA ou SUBTRAÇÃO ou PRODUTO ou DIVISÃO, considerando valores SIGNED. As características do circuito são:
 +
:* As portas de entrada e saída são todas do tipo STD_LOGIC(_VECTOR).
 +
:* As entradas <math> a </math> e <math> b </math> devem ter N bits, e a saída <math> r </math> N+1 bits.
 +
:* O circuito tem uma entrada <math> op </math>, a qual define o tipo de operação que deve ser feita entre as entradas <math> a </math> e <math> b </math>, disponibilizando na saída o resultado dessa operação. <math> op = 00 </math> indica SOMA (a+b),  <math> op = 01 </math> indica SUBTRAÇÃO (a-b), <math> op = 10 </math> indica PRODUTO (a*b),  <math> op = 11 </math> indica DIVISÃO (a/b).
 +
* Faça a simulação funcional do circuito, testando o circuito usando no mínimo com
 +
:<math> {op = 00, a = valor+, b = valor+} </math>,
 +
:<math> {op = 01, a = valor+, b = valor+} </math>,
 +
:<math> {op = 10, a = valor+, b = valor+} </math>,
 +
:<math> {op = 11, a = valor+, b = valor+} </math>,
 +
onde <math> valor+ , valor- </math> são respectivamente valores numéricos positivos e negativos.
 +
* Note que alguns valores de entrada ainda pode ocorrer erro, mesmo tendo um bit a mais na saída.  Em que situação isso pode ocorrer? Mostre uma situação em que isso ocorre na simulação.
 +
:* O circuito pode ter uma saída <math> erro </math> adicional que indica quando o resultado tem erro.
  
* As Taxa de Transmissão deverão ser geradas a partir do clock principal '''CLK50MHz''' do kit a ser utilizado.
+
{{collapse bottom}}
* A seleção da Taxa de Transmissão será feita através das chaves '''SW_BAUD_TX'''[1..0] para o transmissor e das '''SW_BAUD_RX'''[1..0] para o receptor.
+
-->
::00 => 0.1 bps, 01 => 10 bps, 10 => 1 kbps, 11 => 100 kbps.
+
<!--
* Na transmissão deverá ser enviado um bit paridade (par ou impar) de acordo com a chave seletora '''SW_PARITY'''.
+
{{collapse top |AE0 - Resumo estendido de Artigo (Entrega e Prazo ver Moodle)}}
:: 0 => paridade par, 1 => paridade impar.
+
* Ler e fazer um resumo estendido do artigo de 1 a 2 páginas [https://www.dropbox.com/s/wvl3cudgcpchstr/ARTIGO_ACADEMICO_DLP.pdf?dl=0 Dispositivos Lógicos Programáveis] de Kamila Rose da Silva, IFSC.
*No receptor a saída '''RX_ERROR''' deve ser usada para indicar que houve erro na recepção do dado.
+
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a [https://www.sharelatex.com?r=205ee4bd&rm=d&rs=b Plataforma Sharelatex]. Utilize preferencialmente o [http://pt.sharelatex.com/project/5980bfd0b8ec417a1f5e71d8 modelo de artigo no padrão ABNT] em 1 coluna.
 +
* A entrega do resumo  deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 +
{{collapse bottom}}
  
<center>
+
{{collapse top | AE1 - Uso de código concorrente - ALU modificada (Entrega e Prazo ver Moodle)}}
[[Arquivo:APF_DLP29006_2017_2_times.png | 900 px]]
+
*Implemente em VHDL uma Unidade de Lógica e Aritmética que tem como entrada os sinais A e B e que execute as seguintes instruções lógicas e aritméticas conforme o '''opcode''' de entrada:
</center>
 
  
* O sistema no seu '''TOP LEVEL''' deverá ser constituído de um '''Conversor Paralelo Serial''', um '''Conversor Serial Paralelo''', dois '''Geradores de Baud Rate''', um '''Gerador de Paridade''', um '''Detector de Paridade''', um '''Detector de Número de Destino''', um '''Circuito de Entrada de Dados''', e um '''Circuito de Saída de Dados'''.
+
;Unidade Lógica:
 
+
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
* O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentes.  Recomenda-se utilizar também componentes para a criação dos circuitos no segundo nível.  O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
+
! scope="col" width=25% align="center"| Instrução
 +
! scope="col" width=25% align="center"| Operação
 +
! scope="col" width=25% align="center"| opcode
 +
! scope="col" width=25% align="center"| GRUPOS
 +
|-
 +
| Complemento de A || Y = not A || 0000 || 1, 2, 3
 +
|-
 +
| Complemento de B || Y = not B || 0001 || 4, 5, 6
 +
|-
 +
| And || Y = A nand B || 0010 || 1, 3
 +
|-
 +
| Nand || Y = A and B || 0011 || 2, 4
 +
|-
 +
| Or || Y = A nor B || 0100 || 5, 6
 +
|-
 +
| Nor || Y = A or B || 0101 || 1, 4
 +
|-
 +
| Xor || Y = A xor B || 0110 || 2, 5
 +
|-
 +
| Xnor || Y = A xnor B || 0111 || 3, 6
 +
|}
  
* Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para 100kHz de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM, sendo a última obrigatoriamente feita usando testbench em VHDL.
+
;Unidade Aritmética: (onde os valores de A e B podem ser positivos ou negativos)
  
* O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 (ou Mercúrio IV) usando as chaves e leds disponíveis (ou pinos da GPIO para acionar circuitos externos).
+
{| class="wikitable"  border="1" cellpadding="3" cellspacing="0" style="text-align:left; font-size:100%" bgcolor="#efefef"
 
+
! scope="col" width=25% align="center"| Instrução
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
+
! scope="col" width=25% align="center"| Operação
 
+
! scope="col" width=25% align="center"| opcode
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento.  Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos.  A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
+
! scope="col" width=25% align="center"| GRUPOS
 
+
|-
* Para entender o sistema de transmissão recomenda-se consulte materiais complementares sobre [https://en.wikipedia.org/wiki/Asynchronous_serial_communication Comunicação serial assíncrona], [https://en.wikipedia.org/wiki/Parity_bit bit de paridade], [https://en.wikipedia.org/wiki/Universal_asynchronous_receiver-transmitter UART], [https://en.wikipedia.org/wiki/Bit_rate Taxa de bits].
+
| Transfere A || Y = A || 1000 || 1, 2, 3, 4, 5, 6
 
+
|-
{{collapse bottom}}
+
| Transfere B || Y = B || 1001 || 1, 2, 3, 4, 5, 6
-->
+
|-
 
+
| complemento-2 de A || Y = -A || 1010 || 1, 2, 3
===Estudos livres sem entrega de documentação (EL)===
+
|-
*Os estudos livres são fortemente recomendados aos alunos como forma de melhor compreender o assunto estudado em cada unidade.  Nas listas de exemplos e exercícios, os essenciais estão destacados em negrito. Não há prazos nem entregas desses estudos no Moodle, mas pede-se que os alunos realizem esses estudos e tirem suas dúvidas nas aulas destinadas a resolução de exercícios, ou nos minutos iniciais  das aulas.
+
| complemento-2 de B || Y = -B || 1010 || 4, 5, 6
<!--
+
|-
{{collapse top | EL0 - Resolução dos exercícios do Cap 2}}
+
| Incrementa A || Y = A + 1 || 1011 || 1, 4
*Resolva os exercícios do capítulo 2 (1, 2, 3) pag. 28 a 30
+
|-
;Exercise 2.1: Multiplexer:
+
| Decrementa A || Y = A - 1 || 1011 || 2, 5
 
+
|-
*Complete o código VHDL abaixo para que ele seja correspondente a um multiplexador que selecione a entrada A quando sel ="01", B quando sel ="10", coloque "0...0" na saída quando sel ="00" e mantenha a saída em alta impedância "Z...Z" quando sel="11".  
+
| Incrementa B || Y = B + 1 || 1100 || 3, 6
::*Compile o código e em seguida faça a simulação, para verificar se o circuito funciona conforme
+
|-
especificado.   
+
| Soma A e B|| Y = A + B || 1101 || 1, 2
::*Anote as mensagens de warning do compilador.
+
|-
 
+
| Subtrai B de A|| Y = A - B || 1110 || 3, 4
<syntaxhighlight lang=vhdl>
+
|-
---------------------------------------
+
| Subtrai A de B|| Y = B - A || 1110 || 5, 6
-- File: mux.vdh
+
|-
---------------------------------------
+
| Dobra o valor A || Y = A * 2 || 1111 || 1, 2, 3
-- Declaração das Bibliotecas e Pacotes
+
|-
--
+
| Dobra o valor B || Y = B * 2 || 1111 || 4, 5, 6
LIBRARY ieee;
+
|}
USE _________________________ ;
+
* A ENTITY deverá ter a seguinte interface:
 +
<syntaxhighlight lang=matlab>
 +
ENTITY alu IS
 +
GENERIC (N: INTEGER := 4); --word bits
 +
PORT (
 +
A, B:  IN  STD_LOGIC_VECTOR (N-1 DOWNTO 0);
 +
OPCODE: IN  STD_LOGIC_VECTOR (3 DOWNTO 0);
 +
        ERRO:   OUT STD_LOGIC;
 +
Y:      OUT STD_LOGIC_VECTOR (N-1 DOWNTO 0));
 +
END ENTITY;
 +
</syntaxhighlight>
 +
* Verifique qual é o seu grupo, você apenas precisa implementar e simular as funções indicadas para o ele.
 +
* Proponha uma ARCHITECTURE que implemente a ALU (Dica: utilize internamente o tipo de dado tipo '''integer''' ou do tipo "SIGNED").  
 +
* Se na operação realizada o resultado em '''Y''' não estiver correto, a saída '''ERRO''' deve ser setada.
 +
* Anote o número de Elementos Lógicos (ou ALUTs) utilizados [Compilation Report > Flow Summary].  
 +
* Verifique o código RTL gerado [Tools > Netlist Viewers > RTL Viewer]
 +
* Faça a simulação funcional no QSIM  usando os valores mínimos e máximos e outros pares de valores representáveis e verifique se o resultado das operações está correto. Teste cada instrução (opcode) em separadoAnalise os resultados obtidos para entradas com 4 bits e verifique os casos em que há ''ERRO''.
 +
* Escreva um relatório de no '''máximo 15''' páginas contendo: título, identificação, introdução, o desenvolvimento da atividade e resultados, conclusão.  O relatório deve conter as simulações funcionais feitas e a análise dos resultados obtidos. Também é fundamental relate o número de elementos lógicos necessários, o tipo de FPGA utilizado, e o máximo tempo de propagação deste circuito.
 +
* Salve a imagem do circuito RTL e envie com arquivo .'''PNG'''.
 +
* A entrega do relatório em .'''PDF''' e do arquivo .'''QAR''' e .'''PNG''' deverá ser feita na [https://moodle.sj.ifsc.edu.br/course/view.php?id=177 Plataforma Moodle de DLP29006], dentro do prazo indicado.
 +
{{collapse bottom}}
  
---------------------------------------
+
{{collapse top | expand = true | APF - Projeto Final - Sistema de transmissão serial assíncrono (Entrega e prazos ver Moodle)}}
-- Especificação das entradas e saídas e nome da ENTITY
+
* Cada  aluno (ou equipe de 2 alunos) deverá desenvolver um sistema de de transmissão serial assíncrono  mostrado na figura abaixo:
ENTITY mux IS
+
<center>
  PORT (  
+
[[Arquivo:APF_DLP29006_2017_2_Serial_Assincrono.png | 600 px]]
  __ , __ : ___ STD_LOGIC_VECTOR (7 DOWNTO 0);
+
 
  sel : IN ____________________________ ;
+
'''Figura 1. Sistema de Comunicação Serial Assíncrono com Endereçamento de A para B'''
  ___ : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
+
 
END _____ ;
+
[[Arquivo:APF_DLP29006_2017_2_UART_TX.png | 600 px]]
---------------------------------------
+
 
ARCHITECTURE example OF _____ IS
+
'''Figura 2. Interface transmissora serial'''
BEGIN
+
 
  PROCESS (a, b, ____ )
+
[[Arquivo:APF_DLP29006_2017_2_UART_RX.png | 600 px]]
  BEGIN
+
 
    IF (sel = "00") THEN
+
'''Figura 3. Interface receptora serial'''</center>
      c <= "00000000";
 
    ELSIF (__________) THEN
 
      c <= a;
 
    _____ (sel = "10") THEN
 
      c <= __;
 
    ELSE
 
      c <= (OTHERS => '__');
 
    END ___ ;
 
  END _________ ;
 
END _________ ;
 
---------------------------------------
 
</syntaxhighlight>
 
{{collapse bottom}}
 
  
{{collapse top | EL1 - Uso de tipos de dados e operadores}}
+
* As Taxa de Transmissão deverão ser geradas a partir do clock principal '''CLK50MHz''' do kit a ser utilizado.
*Implementar em VHDL um circuito que efetue a operação <math>\ y = a^2 + 2*a*b + 5 </math>, utilizando portas do tipo STD_LOGIC_VECTOR para valores de entrada <math>\ a </math> e <math>\ b </math> com sinal e ocupando 4 bits, utilize na saída <math>\ y </math> 8 bits.  
+
* A seleção da Taxa de Transmissão será feita através das chaves '''SW_BAUD_TX'''[1..0] para o transmissor e das '''SW_BAUD_RX'''[1..0] para o receptor.
*Repita o circuito com as mesmas características, utilizando no entanto portas do tipo INTEGER com range de -8 a 7 nas entradas e range de -128 a 127 na saída.
+
::00 => 0.1 bps, 01 => 10 bps, 10 => 1 kbps, 11 => 100 kbps.
*Repita o circuito com as mesmas características, utilizando no entanto portas do tipo INTEGER com range de -8 a 7 nas entradas e range de -256 a 255 na saída.
+
* Na transmissão deverá ser enviado um bit paridade (par ou impar) de acordo com a chave seletora '''SW_PARITY'''.
*Em todos os casos faça a simulação funcional do circuito. Teste o circuito no minimo com <math>\ {a = -2, b = 3} => y = -3 </math>, <math>\ {a = 7, b = 6} => y = 138 </math> e <math>\ {a = -8, b = -8} => y = 197 </math>. Note que para valores maiores de entrada <math>\ a </math> e <math>\ b </math> pode ocorrer ''overflow'' devido a limitação do número de bits da saída.
+
:: 0 => paridade par, 1 => paridade impar.
*Determine o número de elementos lógicos e pinos e verifique o código RTL obtido.  Compare com os colegas.  
+
*No receptor a saída '''RX_ERROR''' deve ser usada para indicar que houve erro na recepção do dado.
{{collapse bottom}}
 
  
{{collapse top | EL2 - Resolução dos exercícios do Cap 3}}
+
<center>
*Resolva os exercícios do capítulo 3 (1, 2, '''9''', 11, 12, 13, 14-17, '''18''', '''20''', '''22''', 23-30) pag. 81 a 89
+
[[Arquivo:APF_DLP29006_2017_2_times.png | 900 px]]
{{collapse bottom}}
+
</center>
 +
 
 +
* O sistema no seu '''TOP LEVEL''' deverá ser constituído de um '''Conversor Paralelo Serial''', um '''Conversor Serial Paralelo''', dois '''Geradores de Baud Rate''', um '''Gerador de Paridade''', um '''Detector de Paridade''', um '''Detector de Número de Destino''', um '''Circuito de Entrada de Dados''', e um '''Circuito de Saída de Dados'''.
  
{{collapse top | AR2-  Conversor de Binário para BCD}}
+
* O projeto deve ser desenvolvido integrando estes componentes no TOP LEVEL, de modo que neste nível existam apenas conexões entre estes componentesRecomenda-se utilizar também componentes para a criação dos circuitos no segundo nível. O reuso de componentes já desenvolvidos nas aulas é fortemente encorajado.
*Considere um número decimal entre 000 e 999.  Usando operadores predefinidos, obtenha na saída os dígitos decimais separados. 
 
:*Escreva o código VHDL e analise o número de elementos lógicos necessários.   
 
:*Faça a simulação funcional do circuito.
 
<center> [[Arquivo:tb_bin2bcd.jpg]]<br> '''Fig. 20 - Simulação da conversão de binário para BCD''' </center>
 
:*Compare sua implementação com os outros estudantes e analise as diferenças, observe o código RTL, o número de elementos lógicos e também o tempo de propagação.
 
::Para separar os dígitos decimais do número de entrada pense nos operadores de "+", "-", "*", "/", "REM" e "MOD", shift.
 
::Para facilitar os testes e a troca de informações entre as equipes, a ENTITY deverá ter o seguinte formato:
 
<syntaxhighlight lang=vhdl>
 
entity bin2bcd is
 
port (
 
X_bin         : in std_logic_vector(13 downto 0);  --  000 a 999
 
C_bcd : out std_logic_vector(3 downto 0);  --  Centena
 
D_bcd : out std_logic_vector(3 downto 0);  --  Dezena
 
U_bcd : out std_logic_vector(3 downto 0)); --  Unidade
 
  
end entity;
+
* Durante a fase das simulações recomenda-se alterar o valor da frequência do CLK50MHz para 100kHz de modo a viabilizar uma simulação mais rápida. As simulações de cada componente do TOP LEVEL e da integração de todos componentes no TOP LEVEL devem ser feitas no MODELSIM, sendo a última obrigatoriamente feita usando testbench em VHDL.
  
architecture example of bin2bcd is
+
* O sistema deverá ser implementado, testado e demonstrado no kit de hardware FPGA DE2-115 (ou Mercúrio IV) usando as chaves e leds disponíveis (ou pinos da GPIO para acionar circuitos externos).
--declaração de sinais auxiliares
 
  
begin
+
* O arquivo QAR do projeto, e os arquivos .do  e o testbench .vht para o MODELSIM  devem ser enviados antecipadamente para o professor para conferencia antes da implementação no kit de hardware.
--descrição do hardware
 
  
end architecture;
+
* Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamentoTambém devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos. A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
</syntaxhighlight>
 
:: Note que com ''X_bin'' 10 bits é possível representar números sem sinal entre ''0'' e <math> 2^{10}-1 </math>No entanto, os testes devem ser limitados a números entre 000 e 999, pois não há especificação para valores maiores que 999.
 
:: Existe um algoritmo [https://en.wikipedia.org/wiki/Double_dabble Double Dabble] que possibilita fazer essa conversão com menos hardware.
 
::Dica para converter de INTEGER para STD_LOGIC_VECTOR de 4 bits.
 
M_bcd <= std_logic_vector(to_unsigned(M,4));
 
{{collapse bottom}}
 
  
{{collapse top | EL4 - Resolução dos exercícios do Cap 4}}
+
* Para entender o sistema de transmissão recomenda-se consulte materiais complementares sobre [https://en.wikipedia.org/wiki/Asynchronous_serial_communication Comunicação serial assíncrona], [https://en.wikipedia.org/wiki/Parity_bit bit de paridade], [https://en.wikipedia.org/wiki/Universal_asynchronous_receiver-transmitter UART], [https://en.wikipedia.org/wiki/Bit_rate Taxa de bits].
*Resolva os exercícios do capítulo 4 (4-8, '''9''', 10-11, 13, 15-16, '''17''' ) pag. 115 a 120
 
{{collapse bottom}}
 
  
{{collapse top | EL5 - Resolução dos exercícios do Cap 5}}
 
*Resolva os exercícios do capítulo 5 (1, 2, '''3''', 4, '''6''', '''7''', 8-9, '''10-11''', '''14-16''', 17-18, '''19''' ) pag. 144 a 150
 
 
{{collapse bottom}}
 
{{collapse bottom}}
 +
-->
  
{{collapse top | AR3 - Unidade somadora  e subtratora}}
+
===Estudos livres sem entrega de documentação (EL)===
*Implementar em VHDL uma unidade de processamento que efetue a operação de soma ou subtração considerando valores SIGNED e UNSIGNED. As características do circuito são:
+
*Os estudos livres são fortemente recomendados aos alunos como forma de melhor compreender o assunto estudado em cada unidadeNas listas de exemplos e exercícios, os essenciais estão destacados em negrito. Não há prazos nem entregas desses estudos no Moodle, mas pede-se que os alunos realizem esses estudos e tirem suas dúvidas nas aulas destinadas a resolução de exercícios, ou nos minutos iniciais das aulas.
:* As portas de entrada e saída são todas do tipo STD_LOGIC(_VECTOR)
 
:* As entradas <math> a </math> e <math> b </math> devem ter N bits, e a saída <math> r </math> N+1 bits.
 
:* O circuito tem uma entrada <math> tipo </math>, a qual define se os valores das entradas e saídas devem ser considerados UNSIGNED ou SIGNED. <math> tipo = 0 </math> indica UNSIGNED , e <math> tipo = 1 </math> indica SIGNED.
 
:* O circuito tem uma entrada <math> op </math>, a qual define se a operação a ser feita deve ser uma soma ou uma subtração<math> op = 0 </math> indica soma, e <math> op = 1 </math> indica subtração.
 
:* O circuito tem além da saída <math> r </math>, uma saída adicional <math> zero </math> que indica quando o resultado é zero.
 
* Faça a simulação funcional do circuito, testando o circuito usando no mínimo com
 
:<math> {op = 0, tipo = 0, a = valor+, b = valor+} </math>, - SOMA UNSIGNED
 
:<math> {op = 0, tipo = 1, a = valor+, b = valor+} </math>, - SUBTRAÇÂO UNSIGNED
 
:<math> {op = 1, tipo = 0, a = valor+, b = valor+} </math>, - SOMA SIGNED
 
:<math> {op = 1, tipo = 1, a = valor+, b = valor+} </math>, - SUBTRAÇÂO SIGNED
 
:<math> {op = 1, tipo = 0, a = valor+, b = valor-} </math>, - SOMA SIGNED
 
:<math> {op = 1, tipo = 1, a = valor-, b = valor+} </math>; - SUBTRAÇÂO SIGNED
 
onde <math> valor+ , valor- </math> são respectivamente valores numéricos positivos e negativos.
 
* Note que alguns valores de entrada ainda pode ocorrer erro, mesmo tendo um bit a mais na saída. Em que situação isso pode ocorrer? Mostre uma situação em que isso ocorre na simulação.
 
{{collapse bottom}}
 
  
{{collapse top |expand = true| EL6 - Resolução dos exercícios do Cap 6}}
+
{{collapse top | EL1 - Resolução dos exercícios do Cap 2}}
*Resolva os exercícios do capítulo 6 (1, '''2''', 3-4, '''5''', 6-7, '''9*''', '''10-11''', 12*, 13*, '''14''', '''15''') pag. 172 a 176.
+
*Resolva os exercícios do capítulo 2 (1, 2, 3) pag. 28 a 30
{{collapse bottom}}
+
;Exercise 2.1: Multiplexer:
  
{{collapse top |expand = true| EL7 - Resolução dos exercícios do Cap 8}}
+
*Complete o código VHDL abaixo para que ele seja correspondente a um multiplexador que selecione a entrada A quando sel ="01", B quando sel ="10", coloque "0...0" na saída quando sel ="00" e mantenha a saída em alta impedância "Z...Z" quando sel="11".
*Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
+
::*Compile o código e em seguida faça a simulação, para verificar se o circuito funciona conforme
{{collapse bottom}}
+
especificado. 
 +
::*Anote as mensagens de warning do compilador.
  
{{collapse top |expand = true| EL8 - Resolução dos exercícios do Cap 9}}
+
<syntaxhighlight lang=vhdl>
*Resolva os exercícios da capítulo 9 (1-4, 6-9) pag. 238 a 239.
+
---------------------------------------
{{collapse bottom}}
+
-- File: mux.vdh
 +
---------------------------------------
 +
-- Declaração das Bibliotecas e Pacotes
 +
--
 +
LIBRARY ieee;
 +
USE _________________________ ;
  
 +
---------------------------------------
 +
-- Especificação das entradas e saídas e nome da ENTITY
 +
ENTITY mux IS
 +
  PORT (
 +
  __ , __ : ___ STD_LOGIC_VECTOR (7 DOWNTO 0);
 +
  sel : IN ____________________________ ;
 +
  ___ : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
 +
END _____ ;
 +
---------------------------------------
 +
ARCHITECTURE example OF _____ IS
 +
BEGIN
 +
  PROCESS (a, b, ____ )
 +
  BEGIN
 +
    IF (sel = "00") THEN
 +
      c <= "00000000";
 +
    ELSIF (__________) THEN
 +
      c <= a;
 +
    _____ (sel = "10") THEN
 +
      c <= __;
 +
    ELSE
 +
      c <= (OTHERS => '__');
 +
    END ___ ;
 +
  END _________ ;
 +
END _________ ;
 +
---------------------------------------
 +
</syntaxhighlight>
 +
{{collapse bottom}}
 +
 +
{{collapse top | EL2 - Resolução dos exercícios do Cap 3}}
 +
*Resolva os exercícios do capítulo 3 (1, 2, '''9''', 11, 12, 13, 14-17, '''18-20''', '''22''', 23-30) pag. 81 a 89
 +
{{collapse bottom}}
 +
 +
{{collapse top | EL3 - Resolução dos exercícios do Cap 4}}
 +
*Resolva os exercícios do capítulo 4 (4-8, '''9''', 10-11, 13, 15-16, '''17''' ) pag. 115 a 120
 +
{{collapse bottom}}
 +
 +
{{collapse top | EL4 - Resolução dos exercícios do Cap 5}}
 +
*Resolva os exercícios do capítulo 5 (1, 2, '''3''', 4, '''6''', '''7''', 8-9, '''10-11''', '''14-16''', 17-18, '''19''' ) pag. 144 a 150
 +
{{collapse bottom}}
 +
 +
{{collapse top | EL6 - Resolução dos exercícios do Cap 6}}
 +
*Resolva os exercícios do capítulo 6 (1, '''2''', 3-4, '''5''', 6-7, '''9*''', '''10-11''', 12*, 13*, '''14''', '''15''') pag. 172 a 176.
 +
{{collapse bottom}}
 +
 +
{{collapse top | EL7 - Resolução dos exercícios do Cap 8}}
 +
*Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
 +
{{collapse bottom}}
 +
 +
{{collapse top | EL8 - Resolução dos exercícios do Cap 9}}
 +
*Resolva os exercícios da capítulo 9 (1-4, 6-9) pag. 238 a 239.
 +
{{collapse bottom}}
 +
<!--
 
{{collapse top | EL9 - Resolução dos exercícios do Cap 10}}
 
{{collapse top | EL9 - Resolução dos exercícios do Cap 10}}
 
*Resolva os exercícios da capítulo 10 (1,2,5,6,7, 10-15, 17**) pag. 271 a 274.
 
*Resolva os exercícios da capítulo 10 (1,2,5,6,7, 10-15, 17**) pag. 271 a 274.

Edição atual tal como às 15h07min de 12 de dezembro de 2018

MURAL DE AVISOS E OPORTUNIDADES DA ÁREA DE TELECOMUNICAÇÕES


Registro on-line das aulas

Unidade 1 - Introdução

Unidade 1 - Introdução

  • 2 AULAS
Aula 1 (26 jul)
  • Introdução aos dispositivos lógicos programáveis:
  • Conceito, tipos de PLDs
  • SPLD: PAL, PLA e GAL
  • CPLDs
Exemplos de PLDs
Ep310 macrocell.jpg
Figura 1.1 - Macrobloco do PLD EP300 da ALTERA
FONTE: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html
Macrocell EP900.png
Figura 1.2 - Macrocélula dos PLDs Clássicos EP600, EP900, EP1800 da ALTERA (1999)
FONTE: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf
Ep1800 block diagram.jpg Ep1800 block diagram2.jpg
Figura 1.3 - Architetura do PLD EP1800 da ALTERA
FONTE: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html
FONTE: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf
PackagePinOut EP1810.png ChipEP1810.png
Figura 1.5 - Pinagem e tipos de encapsulamento do PLD EP1800 da ALTERA
FONTE: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ds/archives/classic.pdf
FONTE: multisound_tahiti.jpeg
Max 5000 architecture.jpg
Figura 1.6 - Architetura do CPLD MAX 5000 da ALTERA
FONTE: https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html
  • Preços
  • Fabricantes de DLPs/FPGAs e familias de DLPs atuais.


Aula 2 (27 jul)
  • Arquitetura de FPGAs (Xilinx e Altera): CLB, LAB, RAM, DSP, Clock, PLL, I/O
  • Vizualização no Chip Planner de um projeto. (importante todos alunos terem acesso a IFSC-CLOUD
Ver pag. 413 a 431 de [1]
Ver pag. 495 a 501 de de [2]
  • Historia, processo de produção dos chips.
Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS

Unidade 2 - Introdução ao VHDL e ambienta EDA - QUARTUS

  • 3 AULAS
Aula 3 (1 ago)
  • Introdução ao VHDL e ambiente EDA - QUARTUS
  • Estrutura do código VHDL
  • Declaração das bibliotecas e pacotes LIBRARY / PACKAGE

library library_name;
use library_name.package)name.all;

  • ENTITY

entity entity_name is
  [generic (
    cons_name1: const_type const_value;
    cons_name2: const_type const_value;
    ...
    cons_nameN: const_type const_value);]
  [port (
    signal_name1: mode signal_type;
    signal_name2: mode signal_type;
    ...
    signal_nameN: mode signal_type);]
  [declarative_part]
[begin
  statement_part]
end [entity] [entity_name];

  • ARCHITECTURE

architecture arch_name of entity_name is
  [declarative_part]
begin
  statement_part
end [architecture] [arch_name];

  • Exemplo - Declaração de uma porta NAND em VHDL
library std;
use std.standard.all;

entity nand_gate is
	port (a, b: in bit; x: out bit);
end entity;

architecture nome_arch of nand_gate is
begin
	x <= a nand b;
end architecture;


  • Exemplo 2.2 (VHDL) - programação de um flip-flop
 -- Declaração das bibliotecas e pacotes
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

 -- Especificação de todas as entradas e saídas do circuito
 ENTITY flip_flop IS
  PORT (d, clk, rst: IN STD_LOGIC;
   q: OUT STD_LOGIC);
 END;
  
 -- Descrição de como o circuito deve funcionar
 ARCHITECTURE flip_flop OF flip_flop IS
 BEGIN
  PROCESS (clk, rst)
  BEGIN
   IF (rst='1') THEN
    q <= '0';
   ELSIF (clk'EVENT AND clk='1') THEN
    q <= d;
   END IF;
  END PROCESS;
 END;
RTL Ex2 2 Pedronib.png
Figura 2.2 - Código RTL do Exemplo 2.2
  • Use o Technology Map Viewer para ver a como o circuito foi mapeado para os elementos lógicos disponíveis no dispositivo FPGA selecionado (EP1C3T100A8)
TM Ex2 2 Pedronib.png
Figura 2.3 - Technology Map do Exemplo 2.2
ChipPlanner Ex2 2 Pedronib.png
Figura 2.4 - Chip Planner do Exemplo 2.2


Aula 4 (2 ago)
  • Uso de alguns sites auxiliares para a programação em VHDL:
  • Exemplo de um contador em VHDL. COUNTER na página de VHDL da Wikipedia.
  • Objetivos: Copiar e colar o código no Quartus; diferença entre analise e síntese e compilação; observar o RTL (usar UNGROUP); simulação funcional e simulação temporal; observar os atrasos de propagação na simulação temporal.
  • Uso das bibliotecas no VHDL.
  • Library std
O Package standard: é parte do VHDL desde a primeira versão (1987). Ela contem definição de tipos de dados (BIT, INTEGER, BOOLEAN, CHARACTER, etc.) e seus operadores logicos, aritméticos, de comparação e shift.
O Package textio fornece os recurso para o tratamento de textos e arquivos, que podem ser utilizados na simulação.
  • Como declarar e usar os pacotes da biblioteca std.
Note que esses pacotes são implicitamente carregados não precisando ser declarados. Ambos pacotes foram expandidos no VHDL 2008.
-- NAO É NECESSARIO DECLARAR
library std;
use std.standard.all;
use std.textio.all;
  • Onde estão os arquivos dessa biblioteca na versão Quartus II instalada nos computadores do IFSC e na IFSC_CLOUD?
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/std
  • Library ieee
O Package std_logic_1164 define os tipos de dados STD_ULOGIC e STD_LOGIC.
O Package numeric_std define os tipos de dados SIGNED e UNSIGNED e seus operadores considerando o tipo STD_LOGIC como base.
O Package numeric_bit define os tipos de dados SIGNED e UNSIGNED e seus operadores considerando o tipo BIT como base.
O Package numeric_std_unsigned introduz operadores sobre o tipo STD_LOGIC_VECTOR, considerando os como números sem sinal.
O Package numeric_bit_unsigned introduz operadores sobre o tipo BIT_VECTOR, considerando os como números sem sinal.
O Package fixed_pkg (e pacotes associados) definem os tipos de ponto fixo unsigned (UFIXED) e signed (SFIXED) e seus operadores.
O Package float_pkg (e pacotes associados) definem o tipo de ponto flutuante (FLOAT) e seus operadores.
  • Pacotes não padronizados (NÃO UTILIZAR)
O Package std_logic_arith define os tipos de dados SIGNED e UNSIGNED e seus operadores. Deve ser sempre substituído por pelo pacote padrão equivalente numeric_std. (disponível da Mentor e Synopsys)
O Package std_logic_unsigned é semelhante ao numeric_std_unsigned. (disponível da Synopsys)
O Package std_logic_signed é semelhante ao acima operando sobre números com sinal. (disponível da Synopsys)
  • Como declarar e usar os pacotes da biblioteca ieee.
library ieee;
-- UTILIZAR ESTES PACOTES
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

-- NAO UTILIZAR ESTES PACOTES
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_unsigned.all;
  • Onde estão os arquivos dessa biblioteca na versão Quartus II versão 13.0sp1 instalada nos computadores do IFSC e na IFSC_CLOUD?
Os pacotes padrão:
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/ieee
Os pacotes não padrão:
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/mentor/arithmetic  (Mentor Graphics)
ls /opt/altera/13.0sp1/quartus/libraries/vhdl/synopsys/ieee (Synopsys)
  • Os arquivos dessa biblioteca do padrão (versão 2008) estão apenas disponíveis a partir do Quartus II versão 16.0 instalado na IFSC_CLOUD?
ls /opt/altera/16.0/quartus/libraries/vhdl/ieee/2008


Aula 5 (8 ago)
  • Introdução ao VHDL e ambienta EDA - QUARTUS
  • Estrutura do código VHDL
  • Modifique o circuito do flip-flop para que ele passe a ter 4 flip-flops, e realize as simulações funcional e temporal do circuito.
RTL 4FF.png
Figura 2.5 - RTL 4 FF
SIM1 4FF.png
Figura 2.6 - Simulação Funcional de 4 FF 100ns
SIM2 4FF.png
Figura 2.7 - Simulação Temporal de 4 FF 100ns

Note que na simulação funcional a mudança da saída Q ocorre no instante em que ocorre a borda de subida do clock ou no momento do reset. No entanto, no caso da simulação com timing, existe um atraso de ~6ns nestas mudanças.

IMPORTANTE: Na prática normalmente não é necessário fazer a simulação temporal, pois através do Time Quest Report é possivel verificar se o circuito atende as restrições de tempo.

  • Para definir as restrições de tempo do clock por exemplo, pode ser adicionado um arquivo .sdc ao projeto definindo a frequencia do clock esperada através da seguinte linha:
create_clock -name CLK50MHz -period 50MHz [get_ports {*}]


Aula 6 (9 ago)
  • Exemplo 2.3 (VHDL e QSIM) - programação de um circuito somador com registrador
Realizar as simulações funcional e temporal do circuito
Observar o "Technology Map" e o "RTL" do circuito
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

 ENTITY registered_comp_add IS
  PORT (clk: IN STD_LOGIC;
   a, b: IN INTEGER RANGE 0 TO 7;
   reg_comp: OUT STD_LOGIC;
   reg_sum: OUT INTEGER RANGE 0 TO 15);
 END;

 ARCHITECTURE circuit OF registered_comp_add IS
  SIGNAL comp: STD_LOGIC;
  SIGNAL sum: INTEGER RANGE 0 TO 15;
 BEGIN
  comp <= '1' WHEN a>b ELSE '0';
  sum <= a + b;
  PROCESS (clk)
  BEGIN
   IF (clk'EVENT AND clk='1') THEN
    reg_comp <= comp;
    reg_sum <= sum;
   END IF;
  END PROCESS;
 END;
Acrescente saídas para o sinal sum e para o sinal comp, de modo a poder observar estes sinais no simulador QSIM e realize novas simulações funcional e temporal.
RTL Ex2 3 Pedronib.png
Figura 2.8 - Código RTL do Exemplo 2.3
ver Tutorial do QSIM - Introduction to Simulation of VHDL Designs da ALTERA.
Ver pag. 3 a 24 de [2]
Unidade 3 - Tipos de Dados e Operadores em VHDL

Unidade 3 - Tipos de Dados e Operadores em VHDL

  • 7 AULAS
Aula 7 (5 mar)
  • Tipos de Dados em VHDL.
  • Objetos de VHDL: CONSTANT, SIGNAL, VARIABLE, FILE.
  • Palavra chave OTHERS
Ver pag. 31 a 35 de [2]
  • ATENÇÃO!!! Não use as bibliotecas que não são padrão (std_logic_arith, std_logic_unsigned, std_logic_signed)
  • Ler e guardar a página sobre Aritmética com vetores em VDHL
  • Exemplo 3.3 Multiplicador de 4x4 bits (UN)SIGNED e INTEGER
Código Multiplicador
--LIBRARY ieee;
--USE ieee.numeric_std.all;

ENTITY multiplicador4x4 IS
-- multiplicador usando UNSIGNED
-- PORT (a, b: IN UNSIGNED(3 DOWNTO 0);    -- min(a) = 0; max(a) = 15       <- 4 bits
-- y: OUT UNSIGNED(7 DOWNTO 0));           -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando SIGNED
-- PORT (a, b: IN SIGNED(3 DOWNTO 0);      -- min(a) = -8; max(a) = 7       <- 4 bits 
-- y: OUT SIGNED(7 DOWNTO 0));             -- min(a*b) = -56, max(a*b) = 64 -> 8 bits

-- multiplicador usando INTEGER (positivos)
-- PORT (a, b: IN INTEGER RANGE 0 TO 15;   -- min(a) = 0; max(a) = 15       -> 4 bits
-- y: OUT INTEGER RANGE 0 TO 225);         -- min(a*b) = 0, max(a*b) = 225  -> 8 bits

-- multiplicador usando INTEGER (positivos e negativos)
-- PORT (a, b: IN INTEGER RANGE -8 TO 7;   -- min(a) = -8; max(a) = 7       -> 4 bits
-- y: OUT INTEGER RANGE -56 TO 64);        -- min(a*b) = -56, max(a*b) = 64 -> 8 bits 
END ENTITY;

ARCHITECTURE v1 OF multiplicador4x4 IS
BEGIN
 y <= a * b;
END ARCHITECTURE;
  • Observar o número de elementos lógicos, bits usados para representar as entradas e saídas.
  • Observar o código RTL obtido.
  • Realizar a simulação com entradas UNSIGNED e INTEGER na faixa de valores de 0 até 15, e analisar se o valor da saída está correto.
  • Realizar a simulação com entradas SIGNED e INTEGER na faixa de valores de -8 até 7, e analisar se o valor da saída está correto.
Ver pag. 39 a 54 de [2]


Aula 8 (15 ago)
  • Tipos de Dados em VHDL.
  • Classificação dos tipos de dados.
  • Tipos de dados: BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, STRING, STD_(U)LOGIG, STD_(U)LOGIG_VECTOR
	package standard is
	type boolean is (false,true); 
	type bit is ('0', '1');
	type severity_level is (note, warning, error, failure); 
	type integer is range -2147483647 to 2147483647; 
	type real is range -1.0E308 to 1.0E308; 
	type time is range -2147483648 to 2147483647 
		units 
			fs;
			ps = 1000 fs;
			ns = 1000 ps;
			us = 1000 ns; 
			ms = 1000 us; 
			sec = 1000 ms; 
			min = 60 sec; 
			hr = 60 min; 
		end units;
	subtype natural is integer range 0 to integer'high; 
	subtype positive is integer range 1 to integer'high; 
	type string is array (positive range <>) of character; 
	type bit_vector is array (natural range <>) of bit;
	PACKAGE std_logic_1164 IS
	TYPE std_ulogic IS ( 'U',  -- Uninitialized
                         'X',  -- Forcing  Unknown
                         '0',  -- Forcing  0
                         '1',  -- Forcing  1
                         'Z',  -- High Impedance   
                         'W',  -- Weak     Unknown
                         'L',  -- Weak     0       
                         'H',  -- Weak     1       
                         '-'   -- Don't care
                       );
	TYPE std_ulogic_vector IS ARRAY ( NATURAL RANGE <> ) OF std_ulogic;
	SUBTYPE std_logic IS resolved std_ulogic;
	TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;
  • Exemplo 3.1 Buffer Tri-state
Importante: O terceiro estado 'Z' só pode ser usado em saídas, e a sua realização nos FPGAs só ocorre nos nós de I/O.
  • Exemplo 3.2 Circuito com Saida "don't care"
  • Tipos de dados: SIGNED e UNSIGNED
  • Exemplo 3.3 Multiplicador (visto anteriormente)


Aula 9 (16 ago)
  • Tipos de dados predefinidos: FIXED e FLOAT (apenas conhecer)
  • Resumo dos Tipos predefinidos.
Tipo de Dado Package Library Valores Observações
BOOLEAN standard std TRUE e FALSE sintetizável
BIT standard std valores '0', '1' sintetizável
INTEGER standard std números inteiros de 32 bits [de -2^31 até + (2^31 - 1)] sintetizável
NATURAL standard std números inteiros não negativos [de 0 até + (2^31 - 1)] sintetizável
POSITIVE standard std números inteiros positivos [de 1 até + (2^31 - 1)] sintetizável
BOOLEAN_VECTOR standard (2008) std vetor de BOOLEAN sintetizável
BIT_VECTOR standard std vetor de BIT sintetizável
INTEGER_VECTOR standard std vetor de INTEGER sintetizável
REAL standard std números reais [de -1.0E-38 até + 1.0E-38] simulação
CHARACTER standard std caracteres ASCII
STRING standard std vetor de CHARACTER
STD_LOGIC std_logic_1164 ieee valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-' sintetizável
STD_LOGIC_VECTOR std_logic_1164 ieee vetor de STD_LOGIC sintetizável
SIGNED numeric_std ieee STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal sintetizável
UNSIGNED numeric_std ieee STD_LOGIC_VECTOR que aceitam operações aritméticas sintetizável
SIGNED numeric_bit ieee BIT_VECTOR que aceitam operações aritméticas com sinal sintetizável
UNSIGNED numeric_bit ieee BIT_VECTOR que aceitam operações aritméticas sintetizável
SIGNED std_logic_arith ieee STD_LOGIC_VECTOR que aceitam operações aritméticas com sinal sintetizável (não é padrão)
UNSIGNED std_logic_arith ieee STD_LOGIC_VECTOR que aceitam operações aritméticas sintetizável (não é padrão)
UFIXED fixed_pkg + (2008) ieee números de ponto fixo sem sinal sintetizável
SFIXED fixed_pkg + (2008) ieee números de ponto fixo com sinal sintetizável
FLOAT float_pkg + (2008) ieee Números de ponto flutuante sintetizável


  • Tipos definidos pelo usuário:
  • Escalares (Inteiros e Enumerados)
  • Tipos de Array 1D x 1D, 2D , 1D x 1D x 1D, 3D
Exemplos Ex 3.5, Ex 3.6 e Ex 3.7
  • RECORD e SUBTYPE
  • Uso de ARRAYs em portas
  • Declaração do TYPE em PACKAGE
  • Exemplo 3.8: Multiplexador com porta 1D x 1D.::

Ver pag. 60 a 73 de [2]


Aula 10 e 11 (22 e 23 ago)
  • Qualificação de tipos, conversão de tipos (automática, casting e funções de conversão).
  • Resumo das funções de conversão de tipos (Tabela 3.10) e ver Aritmética com vetores em VDHL
  • Exercicio: Multiplicador/Divisor/Somador/Subtrator com sinal e sem sinal (entradas do tipo STD_LOGIC VECTOR)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
 
ENTITY operadores IS
PORT (
		a, b: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		mult: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
		div: OUT STD_LOGIC_VECTOR(? DOWNTO 0);
		sum: OUT STD_LOGIC_VECTOR(? DOWNTO 0);		
		sub: OUT STD_LOGIC_VECTOR(? DOWNTO 0);		
     );
END ENTITY;
 
ARCHITECTURE type_conv_arch OF operadores IS
BEGIN
  -- Inserir o código e definir o tamanho das saidas.
END ARCHITECTURE;
Ver pag. 73 a 78 de [2]


Aula 12 (24 ago)
  • Operadores em VHDL.
  • Operadores predefinidos: Atribuição, Lógicos, Aritméticos, Comparação, Deslocamento, Concatenação, "Matching".
  • Sobrecarga de operadores
Conversor de Binário para BCD
  • Considere um número decimal entre 000 e 999. Usando operadores predefinidos, obtenha na saída os dígitos decimais separados.
  • Escreva o código VHDL e analise o número de elementos lógicos necessários.
  • Faça a simulação funcional do circuito.
Tb bin2bcd.jpg
Fig. 20 - Simulação da conversão de binário para BCD
  • Compare sua implementação com os outros estudantes e analise as diferenças, observe o código RTL, o número de elementos lógicos e também o tempo de propagação.
Para separar os dígitos decimais do número de entrada pense nos operadores de "+", "-", "*", "/", "REM" e "MOD", shift.
Para facilitar os testes e a troca de informações entre as equipes, a ENTITY deverá ter o seguinte formato:
entity bin2bcd is
	port (
		X_bin	        : in  std_logic_vector(9 downto 0);  --  000 a 999
		C_bcd		: out std_logic_vector(3 downto 0);  --  Centena
		D_bcd		: out std_logic_vector(3 downto 0);  --  Dezena
		U_bcd		: out std_logic_vector(3 downto 0)); --  Unidade

end entity;

architecture example of bin2bcd is
--declaração de sinais auxiliares

begin
--descrição do hardware

end architecture;
Note que com X_bin 10 bits é possível representar números sem sinal entre 0 e . No entanto, os testes devem ser limitados a números entre 000 e 999, pois não há especificação para valores maiores que 999.
Existe um algoritmo Double Dabble que possibilita fazer essa conversão com menos hardware.
Dica para converter de INTEGER para STD_LOGIC_VECTOR de 4 bits.
M_bcd <= std_logic_vector(to_unsigned(M,4));
Ver pag. 91 a 108 de [2]
Aula 13 (29 ago)
  • Atributos em VHDL.
  • Atributos predefinidos:
  • tipo escalar e enumerados; tipo array; de sinal;
  • Atributos de síntese:
  • ATTRIBUTE enum_encoding [3]
  • ATTRIBUTE chip_pin [4]
  • ATTRIBUTE keep [5]


Aula 14 (30 ago)
  • Atributos em VHDL.
  • Exemplo 4.4: Delay line (Síntese e Simulação temporal sem o com o atributo keep)
  • Exemplo 5.8 Gerador de Pulsos estreitos
  • ATTRIBUTE preserve [6], [7].
  • ATTRIBUTE noprune[8].
  • Exemplo 4.5: Registros redundantes (Síntese sem e com os atributos keep, preserve e noprune)
ENTITY redundant_registers IS
	 PORT (
		clk, x: IN BIT;
		y: OUT BIT);
 END ENTITY;
 
 ARCHITECTURE arch OF redundant_registers IS
	 SIGNAL a, b, c: BIT;
         -- NORMAL -- 1 LE
	 --ATTRIBUTE preserve: BOOLEAN;
	 --ATTRIBUTE preserve OF a, b, c: SIGNAL IS TRUE; -- 2 LE 
	 --ATTRIBUTE noprune: BOOLEAN;
	 --ATTRIBUTE noprune OF a, b, c: SIGNAL IS TRUE; --3 LE
	 --ATTRIBUTE keep: BOOLEAN;
	 --ATTRIBUTE keep of a,b,c: SIGNAL IS TRUE;
 BEGIN
	 PROCESS (clk)
	 BEGIN
		 IF (clk'EVENT AND clk='1') THEN
			 a <= x;
			 b <= x;
			 c <= x;
		 END IF;
	 END PROCESS;
	 y <= a AND b;
 END ARCHITECTURE;

Após a compilação do código acima, observe o número de elementos lógicos obtidos, observe o Technology Map dos circuitos gerados e verifique a localização dos FFs no Chip Planner.

Sem Attribute
Fig 12. Technology Map do Circuito sem Attribute
Preserve (or Keep) Attribute
Fig 13. Technology Map do Circuito com Attribute Preserve (or Keep)
Noprune Attribute
Fig 14. Technology Map do Circuito com Attribute Noprune
:: Ver pag. 91 a 111 de [2]
Ver: Medição de tempos de propagação em circuitos combinacionais
Aula 15 (5 set)
  • Atributos definidos pelo usuário;

Uso da instrução ALIAS.

  • Exemplo de uso de alias no pacote numeric_std.vhd
  function ADD_UNSIGNED (L, R: UNSIGNED; C: STD_LOGIC) return UNSIGNED is
    constant L_LEFT: INTEGER := L'LENGTH-1;
    alias XL: UNSIGNED(L_LEFT downto 0) is L;
    alias XR: UNSIGNED(L_LEFT downto 0) is R;
    variable RESULT: UNSIGNED(L_LEFT downto 0);
    variable CBIT: STD_LOGIC := C;
  begin
    for I in 0 to L_LEFT loop
      RESULT(I) := CBIT xor XL(I) xor XR(I);
      CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I));
    end loop;
    return RESULT;
  end ADD_UNSIGNED;
NOTA: No exemplo acima, a CONSTANT L_LEFT recebe o tamanho do parâmetro (L), que pode ser qualquer. Esse tamanho é utilizado para criar dois ALIAS para os parâmetros L e R, utilizando uma indexação (L_LEFT DOWNTO 0). Com isso é possível dentro do FOR-LOOP criar os circuitos que realizam as operações lógicas que realizam a operação de soma.
Unidade 4 - Código Concorrente

Unidade 4 - Código Concorrente

  • 4 AULAS + 1 Revisão (UN2-4) + 1 Avaliação A1
Aula 16 - (6 set)
  • Código Concorrente.
  • Uso de Operadores
  • instrução WHEN-ELSE (WHEN)
<optional_label>: <target> <= 
	<value> when <condition> else
	<value> when <condition> else 
	<value> when <condition> else
	...
	<value>;
  • Importante: O último ELSE deve cobrir todos os demais valores para evitar a criação de LATCHES.
  • No QuartusII existe um template pronto para ser utilizado em: [Edit > Insert Template > Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Conditional Signal Assignment]
  • instrução WITH-SELECT-WHEN (SELECT)
<optional_label>: with <expression> select
	<target> <= 
		<value> when <choices>,
		<value> when <choices>,
		<value> when <choices>,
	 	...
		<value> when others;
  • Importante: O valor deve cobrir todas as demais possibilidades usando WHEN OTHERS para evitar a criação de LATCHES.
  • No QuartusII existe um template pronto para ser utilizado em: [Edit > Insert Template > Language templates = VHDL (+) > Constructs (+) > Concurrent Statemens (+) > Selected Signal Assignment]. Mas ATENÇÃO, faltam as virgulas após cada escolha.
  • Exemplo 5.1 + 5.2 mux: com 3 tipos de arquiteturas (com portas, com WHEN-ELSE, com WITH-SELECT)
Verifique os três circuitos considerando as entradas x0 a x3 e a saída y com apenas um elemento.
Modifique os circuitos para que tenham as entradas x0 a x3 e a saída y com 4 elementos.
No caso do uso de WHEN-ELSE e WITH-SELECT é só alterar o tamanho dos vetores STD_LOGIC_VECTOR.
Qual é a solução para a descrição com portas?
  • Para selecionar uma entre várias arquiteturas para a mesma ENTITY use a CONFIGURATION.
CONFIGURATION which_mux OF mux IS
   FOR Operator_only END FOR;
--   FOR with_WHEN END FOR;
--   FOR with_SELECT END FOR;
END CONFIGURATION;
Ver pag. 121 a 127 de [2]
Aula 17 (10 set)
  • Uso da instrução FOR-GENERATE
 
label: FOR identificador IN faixa GENERATE
   [Parte_Declarativa
BEGIN]
   Instruções_concorrentes
   ...
END GENERATE [label];
  • Exercício - Dado o somador para 4 entradas a(0) até a(3), use o FOR-GENERATE para torná-lo um somador para N entradas.
---------------------
-- FILE my_pkg.vhd --
---------------------
library ieee;
use ieee.std_logic_1164.all;

package my_pkg is
	type a_slv	is array(natural range <>) of std_logic_vector (3 downto 0);
end package;
---------------------------
-- FILE vector_adder.vhd --
---------------------------
library ieee work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.my_pkg.all;

entity vector_adder is
	generic (N : natural := 4);
	port (
		a	  : in a_slv (0 to N-1);
		soma : out std_logic_vector (3 downto 0));
end entity;

-- Versão que realiza a soma diretamente, mas que precisa modificar o código de acordo com o número de entradas.

architecture ifsc_v1 of vector_adder is
	signal soma_sig : signed(3 downto 0);
begin
	-- soma_sig <= signed(a(0)) + signed(a(1))   
	-- soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) 
	soma_sig <= signed(a(0)) + signed(a(1)) + signed(a(2)) +  signed(a(3));
	soma <= std_logic_vector(soma_sig);
end architecture;

-- Versão que realiza a soma usando um FOR GENERATE
architecture ifsc_v2 of vector_adder is
	
begin


end architecture;
---------------------------
-- FILE vector_adder.vhd --
---------------------------
configuration ifsc_cfg of vector_adder is
	-- for ifsc_v1 end for;
	for ifsc_v2 end for;
end configuration;
  • Ver pag. 127 a 134 de [2]


Aula 18 (12 set)
  • Código Concorrente.
  • Exemplo 5.4 - Decodificador genérico de endereços.
  • Exemplo de uso de operadores e instrução WITH-SELECT.
Exemplo 5.3 - Unidade de Lógica e Aritmética (ALU).
  • Fazer as seguintes alterações do código da ALU:
  • Inclusão de um sinal que indica "erro" quando ocorre overflow/underflow nas operações de soma, incremento ou decremento.
  • Inclusão de um circuito que satura o sinal no máximo positivo ou negativo nas situações de erro.
  • Teste da ALU usando simulação funcional.
Aula 19 (19 set)
  • Implmentação de conversor Binário para Gray
  • Implementação de conversor Gray para Binário
  • Implementação de incrementador Gray
  • simulação funcional e temporal dos circuitos
  • medição dos tempos de propagação.
Aula 20 (20 set)
Aula suspensa - Participação no SEPEI
Aula 21 (21 set)
  • Código Concorrente.
  • Implementação de circuitos aritméticos com operadores.
  • Para o uso dos operadores o mais adequado é utilizar o padrão industrial STD_LOGIC_VECTOR. Internamente os valores das portas devem ser convertidos ou para valores INTEGER ou para UNSIGNED/SIGNED. para tal é necessário utilizar a biblioteca numeric_std.
  • Também é fundamental considerar a faixa de valores coberta por bits. Para tipos UNSIGNED a faixa é de até , enquanto que para SIGNED a faixa é de até . Assim com 3 bits é possível representar valores entre -4 até 3 com um tipo SIGNED e 0 até 7 com um tipo UNSIGNED.
  • Para uso adequado dos operadores também é necessário considerar o tamanho (número de bits) requerido para o resultado em função do tamanho dos operandos.
  • Ver a declaração das funções "+", "-", "*" e "/" no PACKAGE Numeric std.vhd
function "+" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
function "-" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
  -- Result: Subtracts two UNSIGNED vectors that may be of different lengths.
function "*" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0).
  -- Result: Performs the multiplication operation on two UNSIGNED vectors
  --         that may possibly be of different lengths.
 function "/" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
  -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R.
  -- NOTE: If second argument is zero for "/" operator, a severity level of ERROR is issued.
  • Para operações de "+" ou "-": O tamanho do resultado é igual ao tamanho do maior operando.
Exemplo: r[7..0] = a[7..0] + b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8 bits.
  • Para a operações "*": O tamanho do resultado é igual a soma do tamanho dos dois operandos.
Exemplo: r[12..0] = a[7..0] * b[4..0]; a -> 8 bits; b -> 5 bits então r -> 8+5 = 13 bits.
  • Para "/": O tamanho do resultado é igual ao tamanho do numerador.
Exemplo: r[5..0] = a[5..0] / b[8..0]; a -> 6 bits; b -> 9 bits então r -> 6 bits.
  • No caso da operações de "*" e "/" não ocorre overflow, no entanto no caso da "+" e "-", o overflow/underflow pode ocorrer e precisa ser tratado. Isso pode ser feito acrescentando um bit adicional a saída para conter o overflow ou então sinalizar a sua ocorrência com um bit na saída do circuito. Note que no caso em que ocorrem sucessivas somas, é impraticável ficar aumentando o número de bits para evitar o overflow, de modo que a sinalização do overflow ou uso de escalas, ou representação em ponto fixo ou ponto flutuante podem ser as soluções a serem adotadas.
  • No caso das operações de "+" e "-" também pode ser necessário tratar os sinais de carry in e carry out, que permitem ampliar o tamanho de um somador realizando a sua conexão em cascata, ao mesmo tempo que tratam o overflow.
  • Exemplo 5.7 - Somador/Subtrator Recomendado.
  • Implementar o circuito com 4 bits na entrada e 5 bits na saída (com signed e unsigned)
  • Implementar o circuito com 4 bits na entrada e 4 bits na saída, e uma saída de cout para soma e para subtração
  • Implementar um circuito multiplicador com 4 bits na entrada e 7 bits na saída.
  • em todos os ciruitos acima onde possa ocorrer overflow(underflow), acrescentar um circuito para saturar a saída no máximo(mínimo).
  • em todos os ciruitos acima onde possa ocorrer overflow(underflow), acrescentar um bit de saída que indique quando o resultado está com erro.
  • Ver pag. 139 a 140 de [2]
Unidade 5 - Código Sequencial

Unidade 5 - Código Sequencial

  • 7 AULAS
Aula 23 (26 set)
  • Código Sequencial.
  • Diferenças entre código concorrente e sequencial <=> circuitos combinacional e sequencial
  • Diferenças entre os objetos SIGNAL e VARIABLE
  • Tipos de elementos de memória: Latch x Flip-flop
  • Latch D
  • Flip-flop tipo D com reset assíncrono e com reset (clear) síncrono
  • Seção de código sequencial PROCESS: lista de sensibilidade
[rótulo:] PROCESS [(lista_de_sensibilidade)] [IS]
             [parte_declarativa]
         BEGIN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         END PROCESS [rótulo];
  • Instrução IF
[rótulo:] IF condição THEN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         ELSIF condição THEN
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         ELSE
             afirmação_sequencial;
             afirmação_sequencial;
             ...
         END IF [rótulo];
  • Exemplos:
  • DFFs with Reset and Clear (Variação Ex 6.1),
  • Ver pag. 161 a 160 de [2]


Aula 24 (27 set)
  • Código Sequencial.
  • Contador Básico 0-N (baseado no Ex.6.2)
  • Registrador de deslocamento (Ex.6.3)
Exercício:
  • Implementar um conversor de transmissão de dados com entrada paralela e saída serial.
  • Simular o circuito com 8 bits (ver detalhes no moodle)
Aula 25 (3 out)
  • Código Sequencial
  • Instrução WAIT: WAIT UNTIL, WAIT FOR (simulação apenas), WAIT ON (não implementada no Quartus II).
Recomenda-se utilizar a lista de sensibilidade do PROCESS e a instrução IF no lugar do WAIT.
Algumas instruções de WAIT serão utilizadas na criação de TestBench em VHDL para a simulação com o MODELSIM.
  • Instruções do tipo LOOP: LOOP incondicional, FOR-LOOP, WHILE-LOOP, NEXT, EXIT
  • LOOP incondicional:
[rótulo:] LOOP
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • FOR-LOOP:
[rótulo:] FOR identificador IN faixa LOOP
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • WHILE-LOOP:
[rótulo:] WHILE condição LOOP            -- Executa as "afirmações enquanto a "condição" for verdadeira
             afirmação_sequencial;
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • LOOP com EXIT:
[rótulo:] [FOR identificador IN faixa] LOOP
             afirmação_sequencial;
             EXIT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, termina o "LOOP"
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • LOOP com NEXT:
[rótulo:] [FOR identificador IN faixa] LOOP
             afirmação_sequencial;
             NEXT [rótulo] [WHEN condição];    -- Se a "condição" é verdadeira, não executa as linhas até a linha "END LOOP"
                                               -- e incrementa o "identificador".
             afirmação_sequencial;
             ...
          END LOOP [rótulo];
  • Exemplos:
  • Carry-Ripple Adder (FOR-LOOP) (Ex 6.4)
  • Leading Zeros (LOOP com EXIT) (Ex 6.5)
  • Ver pag. 161 a 164 de [2]
Aula 26 (04 out)
Avaliação A1 - UN2, UN3, UN4


Aula 27 (05 out)
  • Código Sequencial.
  • Instrução CASE
 [rótulo:] CASE expressão IS
                  WHEN valor => atribuições;                            -- valor único
                  ...
                  WHEN valor1 | valor2 | ... | valorN  => atribuições;  -- lista de valores
                  ...
                  WHEN valor1 TO valor2    => atribuições;              -- faixa de valores
                  ...

          END CASE;
  • Contador de 0 a 9 segundos com saída SSD (Ex 6.6).
  • v1 - Unir o código de um contador de 0 a 9 (código sequencial), e o conversor de binário para sete segmentos (código concorrente)
  • v2 - mudar o conversor para SSD de forma a usar código sequencial (CASE).
  • v3 - incluir o conversor para SSD de forma a usar código sequencial (CASE)no mesmo processo que tem o contador de 0-9.
  • Exercício 6.2: projetar um Contador de 0 a MAX com saída em código Gray.
  • Projetar um circuito que permita obter um clock com período de 1 segundo, a partir de um sinal de clock com frequência de fclk = 50MHz.


Aula 28 (10 out)
  • Código Sequencial.
  • Implementar no FPGA o circuito com contador de 0 a 9 segundos com saída SSD e clk de entrada de 50MHz.
  • PROBLEMA: Uso de um período de clock de 20 ns => 50 MHz, verificar a impossibilidade prática de uma simulação deste circuito (1 segundo => 5 minutos de simulação), devido ao tamanho do contador (count1) que conta de 0 a 50M-1.
  • SOLUÇÃO: modificar o contador para um valor máximo menor (0 a 50-1). Notar que a simulação é extremamente rápida neste caso.
  • Após verificar que o circuito funciona "simulado", configurar o FPGA do kit Mercúrio IV para implementar este circuito. As informações necessárias estão em Preparando para gravar o circuito lógico no FPGA. Utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1, ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
  • Como o conversor de binário para ssd realizado anteriormente tinha lógica negativa ('0' acende, '1' apaga), será necessário inverter todas as saídas
ssd_out <= not ssd;
  • Se quiser usar um led na matriz de led é necessário colocar '0' da coluna do Led e '1' na linha correspondente, ou seja utilizar um segundo pino para acender o led.


Aula 29 (11 out)
  • Projete um contador BCD de 00 a 99 configurável.
Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.
entity Count00_99 is
	port (
		clk : in std_logic;
		bcd_U : out std_logic_vector(3 downto 0);
		bcd_D : out std_logic_vector(3 downto 0) 
	);
end entity;
  • Implementação de um relógio contador BCD de segundos.
  • Utilizando o sistema anterior, inclua um contador em BCD de dois dígitos que permita fazer a contagem de 00 a 99, onde o valor final é configurável.
Efetue a simulação funcional (Usando o QSIM e o Modelsim) fazendo a contagem de 00 a 11 e 00 a 23 (para hora) , de 00 a 59 (para minutos e segundos), e de 00 a 99.
entity Timer00_99seg is
	port (
		clk50MHz : in std_logic;
		clk1seg : out std_logic;
		SSD_Useg : out std_logic_vector(0 to 6);
		SSD_Dseg : out std_logic_vector(0 to 6) 
	);
end entity;
configure o FPGA do kit Mercúrio IV para implementar este circuito. Utilize os mostradores ssd DISP0_D e DISP1_D.
  • Uso do Modelsim para simulação funcional.
Aula 30 (17 Out)
  • Projeto e simulação com Modelsim do sistema Timer00-99 com display de 7 segmentos e divisor de clock.
  • Na simulação definir o clk50MHz como 100ms para produzir um clk1sec com duração de 1 segundo.
  • Corrigir o primeiro período de clock de clk1sec. É necessário fazer com que o sinal seja alto primeiro e depois vá para baixo de modo a garantir que a transição positiva ocorra depois de 1 segundo.
  • IMPORTANTE: Também é necessário incluir um RESET em cada circuito que seja sequencial. Também é necessário iniciar o circuito com um reset de 10 ps na simulação.
  • Perceber a necessidade de parametrizar o conversor de bcd2ssd para permitir displays do tipo CA e AA. (ler Display de 7 segmentos)
  • Perceber a necessidade de incluir um sinal que indique o final da contagem no counter00_99. Esse sinal poderá ser usado como clock no relógio a ser projetado.
Unidade 6 - Projeto a nível de Sistema

Unidade 6 - Projeto a nível de Sistema

  • 7 AULAS + 1 Revisão (UN5-6) + 1 Avaliação A2

Rtl timer99sec.png

Os alunos deverão se organizar em equipes de no máximo 2 alunos, 
Realizar um circuito de relógio de 24 horas com saída em display de 7 segmentos, conforme especificado no Moodle. 
O circuito deverá ser apresentado funcional, mostrado com simulação no Modelsim e implementado no FPGA DE2-115.
Este projeto será feito extra-classe, e haverá bonus para a próxima avaliação para as equipes que:
1 - apresentar o primeiro circuito OK. 
2 - apresentar o circuito com o menor número de elementos lógicos (se diferente do primeiro).
3 - apresentar o circuito com maior frequencia máxima (se diferente dos anteriores).
Independente do bonus todas equipes deverão entregar o circuito na atividade indicada no Moodle.
Aula 31 (19 out)
  • Projeto a nível de Sistema.
  • O PACKAGE e PACKAGE BODY: onde declarar e como usar.
  • O COMPONENT: declaração (cópia da ENTITY) e instanciação.

Assim a entity Timer00_99

ENTITY timer00_99seg
GENERIC        (D : INTEGER;
		fclock : INTEGER;
		U : INTEGER);
PORT            (clk50MHz : IN STD_LOGIC;
 		 RST : IN STD_LOGIC;
		 clk1seg : OUT STD_LOGIC;
		 SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
		 SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
END ENTITY;

Será declarada como um COMPONENT

COMPONENT timer00_99seg
GENERIC         (D : INTEGER;
		 fclock : INTEGER;
		 U : INTEGER);
PORT            (clk50MHz : IN STD_LOGIC;
		 RST : IN STD_LOGIC;
		 clk1seg : OUT STD_LOGIC;
		 SSD_Dseg : OUT STD_LOGIC_VECTOR(0 TO 6);
		 SSD_Useg : OUT STD_LOGIC_VECTOR(0 TO 6));
END COMPONENT;
  • Associação dos nomes das portas aos sinais. PORT -> PORT MAP:
  • Mapeamento por posição e nominal.
comp1 : timer00_99seg
GENERIC MAP    (2, 10, 3)		
PORT MAP       (clk50MHz,RST, clk1seg, SSD_Dseg, SSD_Useg);
comp1 : timer00_99seg
GENERIC MAP    ( D => 2, U => 3,
                 fclock => 10,		
PORT MAP       ( clk50MHz => clk50MHz,
		 RST => RST,
		 clk1seg => clk1seg,
		 SSD_Dseg => SSD_Dseg,
		 SSD_Useg => SSD_Useg);
  • Métodos de declaração de COMPONENT.
  • Exemplo: Registrador Circular Ex. 8.2
  • Criação de COMPONENT redimensionáveis. GENERIC -> GENERIC MAP
  • Exemplo: Porta E com N entradas.
  • Exemplo: Detector de Paridade Ex. 8.3
  • Ver pag. 201 a 213 de [2]


Aula 32-33 (24-25 out)
  • Instanciação de COMPONENT com GENERATE.
  • Uso da instrução CONFIGURATION.
  • Ligação direta: ARCHITECTURE-ENTITY.
  • Ligação da instanciação dos componentes: COMPONENT-ENTITY(ARCHITECTURE).
  • Implementação de um timer de 99 segundos usando componentes.
entity timer00_99seg IS 
	generic (fclk2 : natural := 50, D : natural := 5; 	U : natural := 9);
	port
	(
		clk50MHz :  in  STD_LOGIC;
		clk_1seg: out STD_LOGIC;
		ssd_D :  out  STD_LOGIC_VECTOR(0 TO 6);
		ssd_U :  out  STD_LOGIC_VECTOR(0 TO 6)
	);
end entity;
OBS
  • O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
  • Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
Componente 1 - Divisor de Clock, con o valor da divisão configurável pelo parâmetro fclk2
component div_clk is
	generic (fclk2 : natural := 50);       -- frequecia para simulacao
	port (
		clk : in std_logic;
		clk_out : out std_logic
	);
end component;
;OBS:  
*O valor do fclk2 corresponde a metade do período do clock de entrada em Hz.
Componente 2 - Contador de 00 a 99 com saída em BCD, com o valor final configurável pelos parâmetros D e U
component count00_99 is
	generic (D : natural := 9; 	U : natural := 9);
	port (
		clk : in std_logic;
		clk_out : out std_logic;
		bcd_U : out std_logic_vector(3 downto 0);
		bcd_D : out std_logic_vector(3 downto 0)
	);
end component;
OBS
  • Os valores D e U correspondem ao último valor do timer, depois desse valor o timer dever reiniciar a contagem.
Componente 3 - Conversor de BIN para SSD, com um parâmetro configurável ac_ccn para selecionar Anodo ou Catodo Comum.
component bin2ssd is
  generic (ac_ccn : natural := 0);
  port (
    bin_in : in std_logic_vector(3 downto 0);
    ssd_out : out std_logic_vector(0 to 6)
  );
end component;
OBS
  • O valor ac_ccn é utilizado para configurar o circuito entre ativo alto para display de catodo comum (ac_ccn=0), ou ativo baixo para display de anodo comum (ac_ccn=1).


O timer deve utilizar os componentes acima de modo a resultar em um RTL semelhante ao mostrado abaixo.

Rtl timer99sec.png

  • Simulação do timer com ModelSim. Na simulação definir o clk50MHz com duração de 10 ms. Faça uma simulação de pelo menos 60 segundos.

Sim timer99sec.png

OBS: É recomendável inserir um sinal de RESET em todos os circuitos sequenciais e ao iniciar a simulação do circuito começar com RESET ativo durante 10 ps.

  • Após verificar que a simulação do circuito está funcionando, configurar um FPGA para implementar este circuito. Existem duas opções de kit disponíveis com displays de sete segmentos. As informações necessárias estão em Preparando para gravar o circuito lógico no FPGA.
  • Ao utilizar o kit DE2-115 da TERASIC, utilize os pinos (clk = CLOCK_50: PIN_Y2, rst = KEY[0]: PIN_M23, ssd = HEX0-5[0-6]: PIN_G18 - PIN_H22 ...).
definição dos pinos

</syntaxhighlight>

  • Ao utilizar o kit Mercúrio IV da MACNICA, utilize os pinos (clk = CLOCK_50MHz: PIN_T1, led = DISP0_D[7] : PIN_W1, ssd = DISP0_D[0-6]: PIN_V2 - PIN_W2).
definição dos pinos

set_location_assignment PIN_T1 -to clk50MHz set_location_assignment PIN_V21 -to rst_in set_location_assignment PIN_R5 -to ssd_D[0] set_location_assignment PIN_T5 -to ssd_D[1] set_location_assignment PIN_T3 -to ssd_D[2] set_location_assignment PIN_T4 -to ssd_D[3] set_location_assignment PIN_M6 -to ssd_D[4] set_location_assignment PIN_N7 -to ssd_D[5] set_location_assignment PIN_N6 -to ssd_D[6] set_location_assignment PIN_V2 -to ssd_U[0] set_location_assignment PIN_V1 -to ssd_U[1] set_location_assignment PIN_U2 -to ssd_U[2] set_location_assignment PIN_U1 -to ssd_U[3] set_location_assignment PIN_Y2 -to ssd_U[4] set_location_assignment PIN_Y1 -to ssd_U[5] set_location_assignment PIN_W2 -to ssd_U[6] set_location_assignment PIN_W1 -to clk_1seg </syntaxhighlight>

  • Analise o diagrama esquemático como funcionam as chaves e também o tipo de display. Note que no projeot o signal RST foi descrito como normalmente ALTO, podendo ser necessário acrescentar um inversor para ter o funcionamento correto. O Display de 7 segmentos da DE2-115 é do tipo catodo comum, enquanto que na MERCURIO IV ele é do tipo anado comum.
Aula 36 (31 Out)
  • Projeto a nível de Sistema.

FUNCTION e PROCEDURE (são chamados de subprogramas), e podem ser construídos em um PACKAGE, ENTITY, ARCHITECTURE, ou PROCESS.

A instrução ASSERT é útil para verificar as entradas de um subprograma. Seu propósito não é criar circuito, mas assegurar que certos requisitos são atendidos durante a sintese e/ou simulação. Pode ser condicional ou incondicional (condição_booleana = FALSE). A sintaxe da instrução é:

[rótulo:] assert condição_booleana
[report mensagem]
[severity nivel_severidade];

A mensagem pode ser criada usando STRINGs que podem ser concatenadas.

O nível de severidade pode ser NOTE (para passar informação para o compilador/simulator), WARNING (para informar que algo não usual ocorreu), ERROR (para informar que alguma condição não usual "sério" ocorreu), ou FAILURE (para informar que uma condição não aceitável ocorreu). Normalmente o compilador para quando ocorre um ERROR ou FAILURE. ERROR é o valor "default" [9].

  • A FUNCTION: declaração, uso, mapeamento posicional x nominal, PURE x IMPURE.
function nome_funçao (lista_parametros_entrada) return tipo_saida is
   declarações
begin
   afirmações sequenciais
end function;
  • Uso de FUNCTION e ASSERT.
  • Exemplo: Declaração em ARCHITECTURE Ex.9.1
  • Exemplo: Declaração em PACKAGE Ex. 9.2
  • Exemplo: Declaração em ENTITY Ex. 9.3

Abaixo segue um exemplo de cálculo do log2 de um número inteiro. Pode ser usado para determinar o número de bits necessário para um número natural.

function log2c (n : integer) return integer is
	variable m , p : integer;
begin
	m := 0;
	p : = 1;
	while p < n loop
		m : = m + 1;
		p := p * 2;
	end loop;
	return m;
end log2c;
Unidade 7 - Maquinas de Estado Finitas

Unidade 7 - Maquinas de Estado Finitas

  • 2 AULAS
Aula 37 (7 nov)
  • Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
  • O que é uma FSM - Finite State Machine
  • Modelo de FSM
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
----------------------------------------------------------
ENTITY < entity_name > IS
PORT (
	clk, rst : IN STD_LOGIC;
	input : IN < data_type > ;
	output : OUT < data_type >);
END < entity_name > ;
----------------------------------------------------------
ARCHITECTURE < architecture_name > OF < entity_name > IS
	TYPE state IS (A, B, C, ...);
	SIGNAL pr_state, nx_state : state;
	ATTRIBUTE ENUM_ENCODING : STRING; --optional attribute
	ATTRIBUTE ENUM_ENCODING OF state : TYPE IS "sequential";
BEGIN
	------Logica Sequencial da FSM:------------
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			pr_state <= A;
		ELSIF (clk'EVENT AND clk = '1') THEN
			pr_state <= nx_state;
		END IF;
	END PROCESS;
	------Logica Combinacional da FSM:------------
	PROCESS (pr_state, input)
	BEGIN
		CASE pr_state IS
			WHEN A =>
				output <= < value > ;
				IF (input =< value >) THEN
					nx_state <= B;
					...
				ELSE
					nx_state <= A;
				END IF;
			WHEN B =>
				output <= < value > ;
				IF (input =< value >) THEN
					nx_state <= C;
					...
				ELSE
					nx_state <= B;
				END IF;
			WHEN ...
		END CASE;
	END PROCESS;
	------Seção de Saída (opcional):-------
	PROCESS (clk, rst)
	BEGIN
		IF (rst = '1') THEN
			new_output <= < value > ;
		ELSIF (clk'EVENT AND clk = '1') THEN --or clk='0'
			new_output <= output;
		END IF;
	END PROCESS;
END < architecture_name > ;
  • Usando o template acima descreva em VHDL a FSM indicada na Figura 11.1.
  • Faça a simulação da FSM com Modelsim utilizando um testbench em VHDL que faça a FSM seguir os estados
rst -> A -(x=0)-> A -(x=1)-> A -(x=2)-> B -(x=2)-> B -(x=0)-> C -(x=0)-> C -(x=2)-> C -(x=1)-> A -(x=2)-> B -(x=1)-> A.
Ver pag. 277 a 35 de [2]
  • Introdução ao Projeto de Maquinas de Estado Finitas (FSM) em VHDL
  • Exemplo 11.1 Controlador de uma máquina de Venda
  • Compile o código mostrado no Exemplo 11.1.
  • Simule a FSM com Modelsim utilizando um testbench em VHDL para gerar o teste mostrado na figura abaixo.
FSM tb40.png
  • Exercício: Altere a FSM para vender doces que custam 30 centavos. Considere que as moedas aceitas são 5, 10, 25 e 50 centavos. Desenho primeiro a FSM e em seguida implemente-a em VHDL e faça a simulação.
Unidade 8 - Testbench

Unidade 8 - Testbench

  • 1 AULA
Aula 42 (28 nov)
  • Simulação de sistemas digitais com Modelsim e testbench em VHDL
  • Tipos de simulação:
1) simulação funcional (usando o QSIM) com entrada gráfica e análise gráfica da saída - OK já visto desde CIL29003
2) simulação temporal (usando o QSIM) com entrada gráfica e análise gráfica da saída - OK já visto desde CIL29003
3) simulação funcional (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - OK já visto
4) simulação temporal (usando o ModelSim) com entrada gráfica (gerado com comandos force) e análise gráfica da saída - Não será visto
5) simulação funcional (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
6) simulação temporal (usando o ModelSim) com entrada VHDL e análise gráfica da saída - Será visto nesta Unidade
7) simulação funcional (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
8) simulação temporal (usando o ModelSim e Matlab) com entrada VHDL e análise em VHDL da saída.
  • Simular a maquina de venda de doces Ex 11.1
DICA: Use o comando do Quartus II para gerar um template para o testbench. Selecione cada componente como TOP LEVEL e faça a ANÁLISE E SÍNTESE em seguida (Processing > Start > Start Test Bench Template Writer).
  • Criação de sinais para Test Bench em VHDL
Geração de sinal de clock
-- DECLARAR
constant tclk: time := 1 ns;
signal clk   : std_logic := '0';

-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO CONCORRENTE)
clk <= not clk after tclk;

-- CLOCK COM PERIODO DE 2*tclk (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
  clk <= '1';
  wait for tclk;
  clk <= '0';
  wait for tclk;
END PROCESS;
Geração de sinal de reset
-- DECLARAR
constant treset: time := 100 ps;
signal reset   : std_logic;

-- RESET COM DURAÇÃO DE treset (COM CÓDIGO CONCORRENTE)
reset <= '1', '0' after treset;

-- RESET COM DURAÇÃO DE treset (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
  reset <= '1';
  wait for treset;
  reset <= '0';
  wait;
END PROCESS;
Geração de uma sequencia binária
-- DECLARAR
constant t_a: time := 100 ps;
constant Nbits: natural := 8;
signal a  : std_logic_vector(Nbits-1 downto 0);

-- GERAÇÂO DO SINAL a = [0 1 2 3 4 ...] COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
PROCESS                                              
BEGIN  
	for i in 0 to 2**Nbits-1 loop
		a <= std_logic_vector(to_unsigned(i,Nbits));
		wait for t_a;
	end loop;
END PROCESS;
Geração de uma sequencia pseudoaleatória
-- DECLARAR
constant t_a: time := 100 ps;
constant Nbits: natural := 8;
signal a  : std_logic_vector(Nbits-1 downto 0) := (0 => '1', 3 => '1', others => '0');

-- GERAÇÂO DO SINAL a COM DURAÇÃO DE t_a em cada valor (COM CÓDIGO SEQUENCIAL)
-- USANDO UM CONTADOR LFSR
PROCESS                                              
BEGIN  
	for i in 0 to 2**Nbits-1 loop
		a <= (a(0) xor a(2) xor a(3) xor a(4)) & a(Nbits-1 downto 1);  -- para 8 bits
		wait for t_a;
	end loop;
END PROCESS;
Unidade 9 - Projeto Final

Unidade 9 - Projeto Final

  • 9 AULAS
Aula 37 (7 nov) (metade da aula)
  • Projeto Final - Especificação do sistema de controle de travessia de pedestres
Aula 41 (22 nov)
  • Projeto Final - Sistema de controle de travessia de pedestres
  • trabalho desenvolvido em equipes
  • durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
Aula 43 e 44 (29 e 30 nov)
  • Projeto Final - Sistema de controle de travessia de pedestres
  • trabalho desenvolvido em equipes
  • durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
Aula 45 e 46 (5 e 6 dez)
  • Projeto Final - Sistema de controle de travessia de pedestres
  • trabalho desenvolvido em equipes
  • durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
Aula 47 e 48 (12 e 13 dez)
  • Projeto Final - Sistema de controle de travessia de pedestres
  • trabalho desenvolvido em equipes
  • durante as aulas o professor está disponível para o esclarecimento de dúvidas e orienta o trabalho
Aula 49 (14 dez)
  • Projeto Final - controle de travessia de pedestres
  • Apresentação do sistema no kit FPGA pelas equipes.

Avaliações

Atividade Relâmpago (AR)

As atividades relâmpago são atividades avaliativas opcionais que darão BôNUS adicionais ao aluno na próxima avaliação. Elas normalmente consistem de soluções simples para algum problema ou sistema. Elas são enunciadas na aula, e o prazo e a entrega serão definidos no Moodle. Não são aceitas entregas tardias, e apenas 2 alunos podem receber o bonus. A pontuação das atividades é informada a cada atividade.

Avaliação A1

  • Conteúdo avaliado serão as unidades 2 a 4 (cap 1 - 5)
  • Data da avaliação (04/10/2018) - Local: LabReCom.

Avaliação A2

  • Conteúdo avaliado serão as unidades 5 a 7 (Cap 6 a 9)
  • Data da avaliação (14/11/2018) - Local: LabReCom.

Recuperação R12

  • Conteúdo avaliado será as unidades 2 a 7
  • Data da avaliação (18/12/2018 das 7h30 as 8h40) - Local: LabReCom.
As avaliações A1 e A2 são com consulta apenas as folhas de consulta entregues VHDL QUICK REFERENCE CARD e VHDL 1164 PACKAGES QUICK REFERENCE CARD, e as tabelas das figuras 3.6, 3.10 e 4.1 do livro do Pedroni. Dica use também como fonte de consulta os templates do Quartus.
Ao final das avaliações o aluno deverá enviar a avaliação para a plataforma Moodle com os arquivos solicitados.

Projeto Final (PF)

  • O projeto final é uma atividade de avaliação desenvolvida em equipe, e consiste no desenvolvimento de um sistema que aplica os conhecimento adquiridos durante o semestre. A avaliação do projeto final corresponde a no mínimo 45% do peso no conceito final. São avaliados no projeto final os quesitos: 1) Sistema desenvolvido (projeto, simulação e realização, demostração do harware); 2) Relatório com a documentação completa do projeto; 3)
APF - Projeto Final - Sistema de controle de passagem de pedestre (Entrega e prazos ver Moodle)
  • Cada equipe de 2 ou 3 alunos deverá desenvolver um sistema de controle de passagem de pedestre.

SemaforoPedestre2018-2.png
FONTE: Imagem cedida por Yan Lucas Martins e Guilherme José Salles Vieira

  • A descrição exata do funcionamento deve ser obtida com o cliente durante a entrevista de requisitos.

Alguns detalhes gerais:

  • O semáforo de passagem de pedestres é controlado por botões que os pedestres acionam do lado 1 ou 2 da passagem de pedestres para solicitar a travessia. Ao ser acionado o semáforo pode: 1) liberar imediatamente a passagem do pedestre se não houver veículos circulando na via 1 e via 2. 2) aguardar até T_espera segundos (configurável) se houver veículos circulando.
  • Os grupos focais das vias 1 e 2 devem ser do tipo progressivo (GFPv), usando um display com dois dígitos para indicar o tempo restante no estado verde ou vermelho.
  • A passagem de pedestre tem: 1) um botão em cada lado da passagem; 2) um sistema para iluminação noturna da passagem de pedestre, 3) dois grupos focais progressivos (GFPp) com lampadas verdes e vermelhas e um display com dois dígitos para indicar o tempo restante no estado verde; 4) um sistema que emite sons indicativos para auxilio aos deficientes visuais; 5) um sistema que emite vibrações mecânicas para auxilio aos deficientes auditivos e visuais.
  • A iluminação deve acender assim que um botão for acionado e apagar novamente quando o GFPp passar de verde para vermelho.
  • O tempo de passagem do pedestre (T_travessia) é configurável (default = 5s x Nvias).
  • Se não houver acionamento do botão de solicitação de passagem do pedestre, as vias 1 e 2 devem permanecer sempre em verde.
  • O sistema de controle do semáforo poderá ser descrito através de máquinas de estado finita (FSM).
  • Para a FSM sugere-se utilizar GENERIC para definir os tempos tempos.
  • O sistema de controle das FSM será baseado no valor de um timer de segundos externo a FSM (conforme mostrado em aula).
  • O sistema será implementado no kit FPGA DE2-115 usando os leds disponíveis ou pinos da GPIO para acionar leds externos, e chaves para implementar os botões e sensores de veículos.
  • Os sinais de relógio necessários deverão ser obtidos a partir do sinal de clock da placa de 50MHz. Durante as simulações esse circuito deverá ter seu valor alterado de modo a viabilizar a simulação.
  • O arquivo QAR do projeto, e os arquivos .do e o testbench .vht para o MODELSIM devem ser enviados antecipadamente;
  • Escreva um relatório técnico contendo os resultados em no máximo 10 paginas A4. O relatório além das tabelas com os dados de frequência máxima, número de componente, número de pinos, deverá conter a figura dos circuitos RTL da ENTITY top level com uma explicação do seu funcionamento. Também devem ser apresentadas as simulações funcionais e uma análise textual dos resultados obtidos. A descrição da função dos pinos no Kit DE2-115 também deve ser feita.
Ver inspirações adicionais para o projeto em
Serão dadas duas bonificações no projeto

1) (BONUS 1 ponto) para a equipe que desenvolver a melhoria que for considerada a melhor pelo cliente. 2) (BONUS 1 ponto) para a equipe que usar o menor número de componentes no projeto (menor custo).

Atividades Extraclasse (AE)

  • Entrega dos Atividades Extraclasse ao longo do semestre AE(0) a AE(N). A entrega, detalhes e prazos serão indicados na plataforma Moodle.

Estudos livres sem entrega de documentação (EL)

  • Os estudos livres são fortemente recomendados aos alunos como forma de melhor compreender o assunto estudado em cada unidade. Nas listas de exemplos e exercícios, os essenciais estão destacados em negrito. Não há prazos nem entregas desses estudos no Moodle, mas pede-se que os alunos realizem esses estudos e tirem suas dúvidas nas aulas destinadas a resolução de exercícios, ou nos minutos iniciais das aulas.
EL1 - Resolução dos exercícios do Cap 2
  • Resolva os exercícios do capítulo 2 (1, 2, 3) pag. 28 a 30
Exercise 2.1
Multiplexer:
  • Complete o código VHDL abaixo para que ele seja correspondente a um multiplexador que selecione a entrada A quando sel ="01", B quando sel ="10", coloque "0...0" na saída quando sel ="00" e mantenha a saída em alta impedância "Z...Z" quando sel="11".
  • Compile o código e em seguida faça a simulação, para verificar se o circuito funciona conforme

especificado.

  • Anote as mensagens de warning do compilador.
---------------------------------------
-- File: mux.vdh
---------------------------------------
-- Declaração das Bibliotecas e Pacotes 
--
LIBRARY ieee;
USE _________________________ ;

---------------------------------------
-- Especificação das entradas e saídas e nome da ENTITY
ENTITY mux IS
  PORT ( 
   __ , __ : ___ STD_LOGIC_VECTOR (7 DOWNTO 0);
   sel : IN ____________________________ ;
   ___ : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
END _____ ;
---------------------------------------
ARCHITECTURE example OF _____ IS
BEGIN
  PROCESS (a, b, ____ )
  BEGIN
    IF (sel = "00") THEN
      c <= "00000000";
    ELSIF (__________) THEN
      c <= a;
    _____ (sel = "10") THEN
      c <= __;
    ELSE
      c <= (OTHERS => '__');
    END ___ ;
  END _________ ;
END _________ ;
---------------------------------------
EL2 - Resolução dos exercícios do Cap 3
  • Resolva os exercícios do capítulo 3 (1, 2, 9, 11, 12, 13, 14-17, 18-20, 22, 23-30) pag. 81 a 89
EL3 - Resolução dos exercícios do Cap 4
  • Resolva os exercícios do capítulo 4 (4-8, 9, 10-11, 13, 15-16, 17 ) pag. 115 a 120
EL4 - Resolução dos exercícios do Cap 5
  • Resolva os exercícios do capítulo 5 (1, 2, 3, 4, 6, 7, 8-9, 10-11, 14-16, 17-18, 19 ) pag. 144 a 150
EL6 - Resolução dos exercícios do Cap 6
  • Resolva os exercícios do capítulo 6 (1, 2, 3-4, 5, 6-7, 9*, 10-11, 12*, 13*, 14, 15) pag. 172 a 176.
EL7 - Resolução dos exercícios do Cap 8
  • Resolva os exercícios da capítulo 8 (1-7, 9*) pag. 219 a 220.
EL8 - Resolução dos exercícios do Cap 9
  • Resolva os exercícios da capítulo 9 (1-4, 6-9) pag. 238 a 239.

Referências Bibliográficas:

  1. PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. 619p. . ISBN 9788535234657
  2. 2,00 2,01 2,02 2,03 2,04 2,05 2,06 2,07 2,08 2,09 2,10 2,11 2,12 2,13 2,14 PEDRONI, Volnei A. Circuit Design and Simulation with VHDL; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p. ISBN 9780262014335


Curso de Engenharia de Telecomunicações