DI2022802 2023 2 AULA01

De MediaWiki do Campus São José
Revisão de 15h45min de 17 de julho de 2023 por Douglas (discussão | contribs) (Tipo T)
Ir para navegação Ir para pesquisar

1 Circuitos Sequencias

APRESENTAÇÃO
Na unidade curricular Eletrônica Digital I, você aprendeu sobre o funcionamento de um circuito combinacional, constituído a partir de um conjunto de portas lógicas, cujo os valores das suas saídas são definidos diretamente a partir dos valores atuais das suas entradas. Veremos de agora em diante, os Circuitos Sequenciais onde a alterações dos estados do circuito dependem do tempo. Portanto em Eletrônica Digital II vamos identificar e resolver problemas que envolvam a variável tempo, cuja solução seja expressa pela lógica binária e implementada através de circuitos eletrônicos digitais sequencias, sejam eles Flip-Flops, Contadores, Registradores e Memórias. Ao final, vamos dar uma olhada em nas linguagens de descrição de hardware.
OBJETIVOS
Nesta aula o aluno deverá:
  • Identificar os elementos de um circuito digital;
  • Saber o que é um circuito sequencial;
  • Conhecer o que são circuitos síncronos e assíncronos; e
  • Diferenciar latches e flip-flops.


METODOLOGIA
A aula será expositiva e dialogada, utilizando apresentação de texto base na Internet, onde serão mostrados e simulados exemplos de circuitos sequenciais.


INTRODUÇÃO
Os circuitos lógicos dos sistemas digitais podem ser classificados em dois tipos: circuitos combinacionais ou circuitos sequenciais. Um circuito combinacional é constituído de um conjunto de portas lógicas, as quais determinam os valores das saídas diretamente a partir dos valores atuais das entradas.
Um circuito sequencial é composto por um circuito combinacional e elementos de memória. As entradas e as saídas do circuito sequencial estão conectadas somente ao circuito combinacional. Os elementos de memória são circuitos capazes de armazenar informação codificada em binário. Algumas das saídas do circuito combinacional são entradas para os elementos de memória, recebendo o nome de variáveis do próximo estado. Já as saídas dos elementos de memória constituem parte das entradas para o circuito combinacional e recebem o nome de variáveis do estado atual. As conexões entre o circuito combinacional e os elementos de memória configuram o que se costuma chamar laço de realimentação, pois a saída de um bloco é entrada para o outro e vice-versa. A Figura 1 mostra o diagrama de blocos de um circuito sequencial. [2]


Figura 1 - Diagrama geral de um sistema digital. [1]


A informação armazenada nos elementos de memória num dado instante determina o estado em que se encontra o circuito sequencial. O circuito sequencial recebe informação binária das entradas que, juntamente com a informação do estado atual, determinam os valores das saídas e os valores do próximo estado. Desta forma, fica evidente que as saídas de um circuito sequencial dependem não apenas das entradas, mas também do estado atual, armazenado nos elementos de memória. E o mesmo pode ser dito para as variáveis de próximo estado. Em função deste comportamento sequencial, um circuito sequencial é especificado pela sequência temporal de entradas, saídas e estados internos.
Os circuitos sequenciais podem ser divididos em dois tipos: síncronos e assíncronos.

1.1 Síncrono

Um circuito sequencial síncrono utiliza um sinal denominado de relógio (clock) o qual tem a função de cadenciar uma eventual troca de estado. A Figura 2 mostra um exemplo de sinal de relógio. A forma de onda de um sinal de relógio é dita monótona, pois não se altera ao longo do tempo. Nela podem ser identificados a borda de subida, a borda de descida, o nível lógico zero e o nível lógico um. O tempo que decorre para o sinal se repetir é denominado período e é representado por T. Por exemplo, o tempo entre duas bordas de subida sucessivas é igual a T. Da mesma forma, o tempo entre duas bordas de descida sucessivas é igual a T.


Figura 2 - Exemplo de sinal de relógio (clock).


A frequência de um sinal de relógio, representada por f, é definida como sendo o inverso do período, ou seja:

f=1THz


Para medir-se o período (T), usa-se os múltiplos do segundo: ms, us ns e ps. Para se medir a frequência, usa-se os múltiplos do hertz: kHz, MHz e GHz. Um hertz equivale a uma vez por segundo.

EXERCÍCIO
Desenhe uma forma de onda que represente um clock de 100kHz.


(os alunos desenham)

1.2 Assíncrono

Em um circuito sequencial assíncrono as entradas mudam de acordo com uma ordem, isto faz com que o estado do circuito possa ser alterado a qualquer tempo, como consequência de uma mudança de suas entradas. Os elementos de memória utilizados nos circuitos sequenciais assíncronos apresentam uma capacidade de armazenamento que está associada diretamente ao atraso de propagação dos circuitos que os compõem. Em outras palavras, o tempo que esses circuitos levam para propagar uma mudança de suas entradas até suas saídas pode ser encarado como o tempo durante o qual eles retêm os valores aplicados antes da mudança, e esse fenômeno coincide com o conceito de memória, para os circuitos digitais. Nos circuitos sequenciais assíncronos, os elementos de memória são compostos por portas lógicas que proveem um atraso de propagação com valor adequado para o funcionamento do circuito. Então, um circuito sequencial assíncrono pode ser visto como um circuito combinacional com realimentação. O projeto de circuitos com realimentação apresenta grandes dificuldades, uma vez que seu funcionamento correto é dependente das características temporais dos componentes (portas lógicas e fios). A principal dificuldade provém do fato de que os componentes apresentam atrasos que não são fixos, podendo ser diferentes mesmo para exemplares com mesma função e de um mesmo fabricante. Desta forma, os circuitos sequenciais assíncronos têm sido evitados, sempre que possível, em favor do uso de circuitos sequenciais síncronos.

2 Latches

Os vários flip-flops existentes se diferenciam pelo número de entradas que possuem e na maneira pela qual tais entradas afetam o estado em que o flip-flop se encontra. Os tipos mais básicos de flip-flops são denominados latches. Os latches operam por níveis dos sinais de entrada (diz-se que são sensíveis a nível) e servem como base na construção dos flip-flops mais sofisticados. Apesar de serem capazes de armazenar informação binária, os latches são pouco utilizados na construção de circuitos seqüenciais síncronos por serem menos práticos do que os flip-flops.

2.1 Latch RS

O RS é o latch mais simples que existe. Ele pode ser construído com o uso de duas portas NOR de 2 entradas cada, conectadas conforme mostra a Figura 3. Note que há duas entradas, chamadas R e S, e duas saídas, Q e Q¯ . Note também que existe uma conexão entre a saída Q e a outra entrada da NOR 2. Existe também uma conexão entre a saída Q¯ e a outra entrada da NOR 1. Conexões entre saída e entrada são denominadas realimentações, e no caso de circuitos digitais, são responsáveis pela propriedade de armazenamento apresentada pelo circuito.

Figura 3 - Latch RS com portas NOR.


Assim, a análise do funcionamento do latch RS obedecerá a identificação de uma combinação de entradas (passo 1) capaz de determinar o estado do latch de maneira independente do estado anterior (se isso for possível). Desta forma Assumindo o estado determinado no passo 1 como sendo o estado inicial, aplicação de uma nova combinação de entradas para verificar como o circuito se comporta (se muda de estado ou não); Repetição dos passos 1 e 2 para cada combinação de entradas capaz de determinar o estado do circuito de maneira independente. A partir do procedimento anterior encontrar-se-á uma tabela de comportamento denominada tabela de transição de estados (ou simplesmente, tabela de transição), a qual é característica deste latch. Em particular, cada latch e cada flip-flop possui um comportamento que pode ser expresso em termos de uma tabela de transferência que lhe é própria.


R S Q Q\
0 0 Q Q\
0 1 1 0
1 0 0 1
1 1 proibido proibido

2.2 Latch RS Controlado

No latch RS uma alteração das entradas R e S pode acarretar uma troca de estado. Porém, em alguns casos pode ocorrer que os sinais conectados às entradas R e S sofram variações não desejadas, sendo válidos somente em alguns intervalos de tempo bem determinados. Nesse caso, seria interessante que houvesse uma entrada de maior prioridade que fosse encarregada de controlar a habilitação do latch, deixando-o sensível ou não aos valores das entradas R e S. A Figura 4 apresenta um latch RS controlado.


Figura 4 - Latch RS controlado.


C R S Q
0 X X Qa
1 0 0 Qa
1 0 1 1
1 1 0 0
1 1 1 proibido

*Qa: saída do estado anterior.

2.3 Latch D

Para evitar a ocorrência do estado proibido foi criado O latch D que é construído a partir do latch RS, com a colocação de um inversor entre as entradas S e R, assim, fica assegurado que nunca ocorrerá a situação de entradas R=1 e S=1, responsáveis pelo surgimento do estado proibido. Desta forma, a tabela de transição do latch D pode ser derivada da tabela do latch RS controlado, onde as entradas R e S passam a ser a entrada D (com D=S). Duas combinações de entradas desaparecem: uma que resultava na manutenção do estado e outra que resultava no estado proibido. O símbolo do latch D é mostrado na Figura 5.

Figura 5 - Latch D.

C D Q
0 X Qa
1 0 0
1 1 1

Conforme visto até agora, os latches controlados D e RS são ativados ou controlados pelo nível lógico do sinal de controle. Isso significa que, enquanto o sinal de controle estiver ativando o latch, eventuais variações das entradas D ou R e S serão percebidas pelo latch e este poderá mudar de estado. Essa característica é particularmente imprópria para a construção de circuitos sequenciais síncronos, uma vez que em tais circuitos qualquer troca de estado deve ocorrer de maneira sincronizada com o sinal de relógio.

3 Flip-Flops

Os flip-flops são elementos de circuito que podem apresentar em seu funcionamento apenas dois estados estáveis. Não existem estados intermediários entre estes dois estados. A aplicação de um sinal de entrada pode mudar o dispositivo de um estado para outro e como a qualquer momento podemos saber qual é o estado em que ele se encontra, é possível considerar este circuito como uma memória capaz de armazenar um bit.

O flip-flop é o elemento básico das chamadas memórias estáticas. Existem diversos tipos de flip-flops encontrados nos circuitos digitais comerciais.


3.1 Tipo RS

O Flip-Flop R-S (Reset e Set) funciona da seguinte maneira:

O flip-flop R-S tem duas saídas representadas por Q e Q\, assim, na condição inicial estável Q estará no nível baixo (0) e Q\ estará no nível alto (1). O processo que leva o flip-flop a este estado inicial pronto para funcionar é muito rápido, não demorando mais do que alguns microssegundos. Quando o flip-flop se encontra na situação indicada, com Q=0 e Q\=1, dizemos que ele se encontra "setado". A Figura 1 mostra o símbolo utilizado pelo Flip-Flop RS com clock.


Figura 6 - Flip-Flop RS com clock.


Assim, estando o flip-flop na condição indicada, se desejarmos mudar o estado, aplicamos o pulso na entrada SET, ficando Q=1 e Q\=0. Desta forma, se desejarmos mudar o estado novamente, aplicamos o pulso na entrada RESET, logo as saídas mudam para Q=0 e Q\=1. A Figura 2 mostra os diagramas de tempo para um FF R-S com clock. Lembre-se que SET e RESET são assíncronos, ou seja, acontecem imediatamente depois de acionados.


Figura 7 - Diagrama de tempos para o Flip-Flop RS com entrada de clock.


Se a mudança de estado ou disparo (gatilho) ocorre quando o sinal de clock passa de 0 para 1, os flip-flops são denominados "positive edge-triggered", enquanto que, se o disparo ocorre quando o clock vai do nível 1 para 0, ou seja, na queda do nível lógico, os flip-flops são chamados de "negative edge-triggered". Neste tipo de circuito é muito importante levar em conta, num projeto de maior velocidade, os tempos em que todo o processo ocorre. Assim, partindo do diagrama de tempos da Figura 3, vemos que a saída do flip-flop só completa sua mudança de estado depois de um certo tempo, do pulso de clock ter sido aplicado.


Figura 8 - Tempos do flip-flop R-S.

Dois tempos são importantes neste tipo de circuito.

Hold Time (tH)
"Tempo de Manutenção" é o tempo em que a entrada deve permanecer ainda no circuito para que seu nível lógico seja reconhecido pelo flip-flop.
Setup Time (tS)
"Tempo de configuração" é tempo em que a entrada do flip-flop deve permanecer no estado desejado antes da transição do clock que vai provocar a mudança de estado do circuito.

3.2 Tipo D

O Flip-Flop tipo D funciona da seguinte maneira: Quando houver variação do clock, o valor guardado na saída do flip-flop será igual ao valor da entrada D (dados) naquele momento.

O circuito do flip-flop do tipo D pode ser construído a partir do circuito do flip-flop RS controlado, basta adicionar uma porta inversora entre R e S fazendo com que as entradas sempre sejam invertidas uma da outra. Desse modo não vamos ter R=0 e S=0 ou R=1 e S=1 o que evita o chamado "estado proibido". A Figura 4 mostra essa configuração.

Figura 9 - Circuito do flip-flop tipo D utilizando o RS controlado.

D Q
0 0
1 1


Observe que pelo fato do flip-flop tipo D possuir apenas uma entrada faz com que a sua tabela verdade possua somente duas linhas, já que com uma entrada são possíveis apenas dois valores 0 ou 1. O C, de controle, na verdade se transforma em clock (CK) nas distribuições comerciais, além do possuir preset (PR) e clear (CLR). A Figura 5 apresenta um flip-flop tipo D com preset e clear ativos pela borda de subida do clock (a) e borda de descida do clock (b).


Figura 10 - Flip-flop tipo D com preset e clear ativos pela: (a) borda de subida e (b) borda de descida do clock.

3.3 Tipo JK

No Flip-Flop J-K quando houver variação do clock, o valor guardado no flip-flop será alternado se as entradas J e K forem iguais a 1 e será mantido se ambas forem iguais a 0. No caso de serem diferentes, então o valor se tornará 1 se a entrada J (Jump) for 1 e será 0 se a entrada K (Kill) for 1. A Figura 6 mostra o símbolo utilizado para o flip-flop JK.

Figura 11 - Flip-flop JK com preset e clear.


J K Q
0 0 Q
0 1 0
1 0 1
1 1 Q\


O flip-flop J-K aprimora o funcionamento do flip-flop RS interpretando a condição S = R = 1 (proibido) como um comando de inversão.

Especificamente, a combinação J = 1, K = 0 é um comando para ativar (set) a saída do flip-flop. A combinação J = 0, K = 1 é um comando para desativar (reset) a saída do flip-flop; e a combinação J = K = 1 é um comando para inverter o flip-flop, trocando o sinal de saída pelo seu complemento.

3.4 Tipo T

Assim como se modifica o flip-flop R-S colocando um porta inversora entre as entradas R e S para criar o flip-flop tipo D, podemos fazer um curto-circuito entre J e K e o flip-flop J-K se torna um flip-flop T. A Figura 7 apresenta como transformar um flip-flop do tipo JK em tipo T.

Figura 12 - Transformando flip-flip JK em T.

T Q
0 Q
1 Q\

O flip-flop tipo T funciona da seguinte forma: Quando houver variação do clock, o valor guardado no flip-flop será alternado ou mantido dependendo se o valor na entrada T (Toggle) for 1 ou 0. Assim, quando T=1 a saída vai para Q\ e quando T=0 a saída permanece como estava.

4 Responda

[1] Quais são os principais elementos de um circuito digital?

[2] O que é um circuito sequencial?

[3] Qual a diferença entre um circuito síncrono e um circuito assíncrono?

[4] Qual a principal diferença entre os latches e os flip-flops?

5 VHDL

Código VHDL
Descreve o funcionamento de um FF tipo D:
 
library ieee;
use ieee.std_logic_1164.all;

entity FF_D is
	port
	(
		D	: in  std_logic;
		clk	: in  std_logic;
		Q	: out std_logic
	);
end FF_D;

architecture Ex1 of FF_D is
begin
-- Update the register output on the clock's rising edge
process (clk)
begin
	if (rising_edge(clk)) then
		Q <= D;
	end if;
end process;
end Ex1;

6 Referências

[1] TOCCI, Ronald J.; WIDMER N. S.; GREGOGRY L. M. Sistemas digitais: princípios e aplicações. São Paulo: Prentice Hall, 2003.

[2] http://www.inf.ufsc.br/~guntzel/isd/isd4.pdf

[3] http://www.cburch.com/logisim/docs/2.7/pt/html/libs/mem/flipflops.html