Mudanças entre as edições de "Simulação Funcional usando o ModelSim"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 1: Linha 1:
Essa página descreve os passos a serem realizados para a simulação funcional no '''ModelSim''' de um circuito implementado no '''Quartus Prime'''.
+
Essa página descreve os passos a serem realizados para a simulação funcional no '''ModelSim''' de um circuito implementado no '''Quartus Prime 20.1.1''', disponibilizados na [https://wiki.sj.ifsc.edu.br/index.php/Acesso_ao_IFSC-CLOUD_(NUVEM)#Acesso_a_VM_Experimental_Quartus_e_Matlab_em_nuvem_via_Cliente_X2GO: nuvem do IFSC].
  
Antes de acessar o simulador, o circuito deve estar descrito em VHDL, e o '''Quartus Prime''' configurado corretamente.  
+
Antes de acessar o simulador, o circuito deve estar descrito em VHDL utilizando o Quartus Prime, e o este deve ser configurado corretamente.  
  
 
;Passo 1:
 
;Passo 1:
Linha 33: Linha 33:
  
  
;Passo 1:
+
;Passo 2:
 
Verifique se o caminho para o ModelSim está correto '''[Tools > Options > General > EDA Tools Options'''].  O campo '''Modelsim-Altera''' deve estar com  
 
Verifique se o caminho para o ModelSim está correto '''[Tools > Options > General > EDA Tools Options'''].  O campo '''Modelsim-Altera''' deve estar com  
 
  /opt/intelFPGA/20.1/modelsim_ae/linuxaloem
 
  /opt/intelFPGA/20.1/modelsim_ae/linuxaloem
 
{{fig|1| EDA Tools Options | EDA_Tools_Settings.png | 400 px |}}
 
{{fig|1| EDA Tools Options | EDA_Tools_Settings.png | 400 px |}}
  
;Passo 2:
+
;Passo 3:
 
Verifique se o formato do arquivo de simulação no ModelSim está correto '''[Assigments > Settings.. > General > EDA Tools Settings '''].  O campo '''Formats''' da linha '''Simulation''' deve estar com
 
Verifique se o formato do arquivo de simulação no ModelSim está correto '''[Assigments > Settings.. > General > EDA Tools Settings '''].  O campo '''Formats''' da linha '''Simulation''' deve estar com
 
  VHDL
 
  VHDL
 
{{fig|2| EDA Tools Settings | EDA_Tools_Settings.png | 400 px |}}
 
{{fig|2| EDA Tools Settings | EDA_Tools_Settings.png | 400 px |}}
 +
==AQUI==
 +
;Passo 4:
 +
Execute o Modelsim a partir da IDE do Quartus, '''[Tools > Run Simulation Tool > RTL Simulation'''].  O Modelsim deverá abrir uma tela semelhante a mostrada abaixo. No painel '''Libray''', clique na caixa '''[-]''' na frente da pasta '''[work]''' para mostrar a '''entity''' e a '''architecture'''.
 +
{{fig|3| Modelsim - tela entrada | Modelsim_entrada.png | 400 px |}}
  
;Passo 3:
+
;Passo 5:
 +
Para iniciar o simulador, de um duplo-click sobre o nome da '''architeture'''.  A tela ficará semelhante a mostrada abaixo.
 +
{{fig|4| Modelsim - tela inicial simulador | Modelsim_inicial_simulador.png | 400 px |}}

Edição das 16h22min de 30 de setembro de 2022

Essa página descreve os passos a serem realizados para a simulação funcional no ModelSim de um circuito implementado no Quartus Prime 20.1.1, disponibilizados na nuvem do IFSC.

Antes de acessar o simulador, o circuito deve estar descrito em VHDL utilizando o Quartus Prime, e o este deve ser configurado corretamente.

Passo 1

Digite o seguinte código VHDL ou seu próprio código e após salvar o projeto faça a Analysis & Synthesis [Crtl+k].

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity bin2bcd is
	port (
		A      : in  std_logic_vector (6 downto 0);
		sd, su : out std_logic_vector (3 downto 0)
	);
end entity;

architecture ifsc_v1 of bin2bcd is
	signal A_uns          : unsigned (6 downto 0);
	signal sd_uns, su_uns : unsigned (6 downto 0);

begin
	sd     <= std_logic_vector(resize(sd_uns, 4));
	su     <= std_logic_vector(resize(su_uns, 4));
	sd_uns <= A_uns/10;
	su_uns <= A_uns rem 10;
	A_uns  <= unsigned(A);
end architecture;


Passo 2

Verifique se o caminho para o ModelSim está correto [Tools > Options > General > EDA Tools Options]. O campo Modelsim-Altera deve estar com

/opt/intelFPGA/20.1/modelsim_ae/linuxaloem

Figura 1 - EDA Tools Options
EDA Tools Settings.png
Fonte: Elaborado pelo autor.
Passo 3

Verifique se o formato do arquivo de simulação no ModelSim está correto [Assigments > Settings.. > General > EDA Tools Settings ]. O campo Formats da linha Simulation deve estar com

VHDL

Figura 2 - EDA Tools Settings
EDA Tools Settings.png
Fonte: Elaborado pelo autor.

AQUI

Passo 4

Execute o Modelsim a partir da IDE do Quartus, [Tools > Run Simulation Tool > RTL Simulation]. O Modelsim deverá abrir uma tela semelhante a mostrada abaixo. No painel Libray, clique na caixa [-] na frente da pasta [work] para mostrar a entity e a architecture.


Figura 3 - Modelsim - tela entrada
Modelsim entrada.png
Fonte: Elaborado pelo autor.
Passo 5

Para iniciar o simulador, de um duplo-click sobre o nome da architeture. A tela ficará semelhante a mostrada abaixo.


Figura 4 - Modelsim - tela inicial simulador
Modelsim inicial simulador.png
Fonte: Elaborado pelo autor.