Mudanças entre as edições de "Seguindo o tutorial da ALTERA para o MODELSIM"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 2: Linha 2:
  
 
=PASSO 1=
 
=PASSO 1=
*Ler o tutorial [ftp://ftp.altera.com/up/pub/Altera_Material/13.0/Tutorials/VHDL/Using_ModelSim.pdf Using ModelSim to Simulate Logic Circuits in VHDL Designs], ou [https://www.dropbox.com/s/pn9oiwer2agoyhc/Using_ModelSim.pdf?dl=0]
+
* Ler o tutorial [ftp://ftp.altera.com/up/pub/Altera_Material/13.0/Tutorials/VHDL/Using_ModelSim.pdf Using ModelSim to Simulate Logic Circuits in VHDL Designs], ou [https://www.dropbox.com/s/pn9oiwer2agoyhc/Using_ModelSim.pdf?dl=0]
*Obter os [https://www.dropbox.com/s/we1cllbmq841at9/Using_ModelSim.zip?dl=0 arquivos para realizar o tutorial]
+
* Obter os [https://www.dropbox.com/s/we1cllbmq841at9/Using_ModelSim.zip?dl=0 arquivos para realizar o tutorial]
*Para usar o ModelSim 10.1d na CLOUD-IFSC abra um terminal e digite:
+
* Para usar o ModelSim 10.1d na CLOUD-IFSC abra um terminal e digite:
 
  /opt/altera/13.0sp1/modelsim_ase/bin/vsim
 
  /opt/altera/13.0sp1/modelsim_ase/bin/vsim
*Para usar o ModelSim 10.1d no LabProg abra um terminal e digite:  
+
* Para usar o ModelSim 10.1d no LabProg abra um terminal e digite:  
 
  /opt/altera/13.0/quartus/modelsim_ase/linux/vsim
 
  /opt/altera/13.0/quartus/modelsim_ase/linux/vsim
  
=PASSO 2=
+
=PASSO 2 - Functional Simulation with ModelSim=
 +
;Creating a Project:
 +
* To create a project in ModelSim, select '''[File > New > Project...]'''
 +
:project name = serial_adder,
 +
:project location <= <subdiretorio do usuário>/Using_ModelSim/functional
 +
:default library name <= tutorial
 +
:copy settings field.
 +
:click '''[OK]'''
 +
* Since the file for this tutorial exists, click '''Add Existing File''' and select ''serial.vhd'' file.
 +
* Once the file is added to the project, it will appear in the Project tab
 +
* click '''[Close]'''
 +
 
 +
;Compiling a Project:
 +
Compilation in ModelSim checks if the project files are correct and creates intermediate data that will be used during simulation.
 +
*select '''[Compile > Compile All]'''. A green check mark will appear to the right of the serial.vhd file in the Project tab.

Edição das 19h02min de 16 de setembro de 2015

Este tutorial ensina como utilizar o Modelsim para realizar a simulação funcional e também temporal. É requisito para a realização do tutorial o acesso ao software Quartus II e Modelsim ou Modelsim-ALTERA.

PASSO 1

/opt/altera/13.0sp1/modelsim_ase/bin/vsim
  • Para usar o ModelSim 10.1d no LabProg abra um terminal e digite:
/opt/altera/13.0/quartus/modelsim_ase/linux/vsim

PASSO 2 - Functional Simulation with ModelSim

Creating a Project
  • To create a project in ModelSim, select [File > New > Project...]
project name = serial_adder,
project location <= <subdiretorio do usuário>/Using_ModelSim/functional
default library name <= tutorial
copy settings field.
click [OK]
  • Since the file for this tutorial exists, click Add Existing File and select serial.vhd file.
  • Once the file is added to the project, it will appear in the Project tab
  • click [Close]
Compiling a Project

Compilation in ModelSim checks if the project files are correct and creates intermediate data that will be used during simulation.

  • select [Compile > Compile All]. A green check mark will appear to the right of the serial.vhd file in the Project tab.