Mudanças entre as edições de "Registrador de Deslocamento - BDF e QSIM"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 39: Linha 39:
 
*Qual é a conclusão que você pode chegar em relação ao tempo de propagação <math> t_{pLH} </math> e <math> t_{pHL} </math>?
 
*Qual é a conclusão que você pode chegar em relação ao tempo de propagação <math> t_{pLH} </math> e <math> t_{pHL} </math>?
 
*Como você poderia medir o tempo de atraso dos sinais Q1, Q2, Q3 e Q4 em relação ao sinal SET?
 
*Como você poderia medir o tempo de atraso dos sinais Q1, Q2, Q3 e Q4 em relação ao sinal SET?
 +
*Meça a duração dos pulsos ALTOS após o tempo de 120 ns do sinal D, Q1, Q2, Q3 e Q4.  Explique o motivo da diferença de duração.

Edição das 22h12min de 8 de maio de 2013

Objetivos

  • Inserir diagrama esquemático de um circuito lógico com o Quartus II
  • Fazer a simulação funcional de um circuito com o QSIM
  • Gerar formas de onda para entrada de simulação
  • Fazer a simulação temporal de um circuito com o QSIM

Diagrama Esquemático

Abra o Quartus II (versão 12.1) e insera o diagrama esquemático de um registrador de deslocamento com 4 DFF, conforme a figura abaixo.

RegDesloc-bdf.png

Após salvar o arquivo como RegDeslocamento.bdf em uma pasta vazia com nome EX_1, e crie um projeto RegDeslocamento.qpf utilizando a família family=Cyclone com o dispositivo device=EP1C3T100A8. Após isso compile o projeto.

Simulação funcional com o QSIM

  • Abra o QSIM e abra o arquivo de projeto RegDeslocamento.qpf (File > Open Project...).
  • Em seguida gere o arquivo de nós do projeto (Processing > Generate Node Finder Files).
  • Crie as formas de onda para entrada da simulação (File > New Simulation Input File).
  • Defina o tempo de simulação (Edit > Set End Time ...) = 200 ns.
  • Importe todos os nós de lista do projeto (Edit > Insert > Insert Node or Bus) > [Node Finder] > [List] > [>>] > [OK] > [OK].
  • Desenhe a forma de onda dos sinais de entrada conforme mostrado na figura abaixo, e salve com o nome teste1.vwf.

RegDeslocTeste1.png

  • Indique como a simulação será feita (Assign > Simulation Settings ...). Escolha o arquivo de forma de onda de entrada que você criou (teste1.vwf) e selecione a tipo de simulação [x] Functional.
  • Faça a simulação do circuito lógico usando o sinal criado (Processing > Start Simulation)
  • Abra o arquivo resultado da simulação (File > Open Simulation Output File). E verifique se o resultado obtido corresponde a um Registrador de Deslocamento. Salve o sinal de saída como resultadoF1.vwf.

RegDeslocResult1.png

  • Note que a entrada de SET e RESET não estão sincronizadas com o relógio (CLK). Os sinais de saída dos DFF são todos sincronizados com a borda de subida do CLK.
  • Meça a duração dos pulsos ALTOS do sinal D, Q1, Q2, Q3 e Q4. Explique o motivo da diferença de duração.
  • Inverta o CLK e repita a simulação. Salve a entrada como teste2.vwf e o sinal de saída como resultadoF2.vwf.
  • Entre 120ns e 150ns mude o sinal de SET para '1' e repita a simulação. Salve a entrada como teste3.vwf e o sinal de saída como resultadoF3.vwf.

Simulação temporal com o QSIM

  • Indique como a simulação será feita (Assign > Simulation Settings ...). Escolha o arquivo de forma de onda de entrada que você criou (teste1.vwf) e selecione a tipo de simulação [x] Timing.
  • Faça a simulação do circuito lógico. Abra o arquivo resultado da simulação. Verifique as mudanças que ocorreram nos sinais de saída Q1, Q2, Q3 e Q4. Salve o sinal de saída como resultadoT1.vwf.
  • Meça os atrasos dos sinais Q1, Q2, Q3 e Q4 em relação ao sinal RESET.
  • Meça os atrasos dos sinais Q1, Q2, Q3 e Q4 em relação ao sinal CLK.
  • Qual é a conclusão que você pode chegar em relação ao tempo de propagação e ?
  • Como você poderia medir o tempo de atraso dos sinais Q1, Q2, Q3 e Q4 em relação ao sinal SET?
  • Meça a duração dos pulsos ALTOS após o tempo de 120 ns do sinal D, Q1, Q2, Q3 e Q4. Explique o motivo da diferença de duração.