Quartus Prime QSIM problems

De MediaWiki do Campus São José
Revisão de 20h34min de 9 de setembro de 2022 por Moecke (discussão | contribs)
Ir para navegação Ir para pesquisar

Ao utilizar o simulador QSIM no Quartus Prime (20.1.1 Build 720 11/11/2020 SJ Standard Edition), podem ocorrer (ou vão ocorrer) alguns problemas. Infelizmente não foi encontrado até agora nenhum arquivo que possa ser corrigido, de modo que a cada novo arquivo .vwf será necessário corrigir o problema.

Se souber de uma solução avise mailto:engtelecom@ifsc.edu.br para que possam documentar aqui.

Abrindo o simulador QSIM

Após ter feito o projeto, seja através de diagrama esquemático ou através de arquivos VHDL, realiza a Análise e Síntese do projeto [Processing > Start > Strat Analysis & Synthesis]. Em seguida abra o editor do simulador QSIM [File > New > University Program VWF]. Insira os nós e barramentos de entrada e saída que deseja visualizar [Edit > Insert > Insert Node or Bus] clique em [Node Finder] e em [List], e em seguida selecione os nodes e clique [>] ou selecione todos clicando em [>>], clique em [OK] e [OK]. Configure o Radix de cada nó, e também insira os valores usando a interface gráfica.

Executando a simulação funcional

Para executar a simulação funcional [Simulation > Run Functional Simulation], salve o arquivo com um nome adequado tb_projeto.vwf. Neste momento provavelmente irá ocorrer o seguinte erro:

** Error (199014): Vector source file <path file="">.vwf specified with --testbench_vector_input_file option does not exist 

A solução para corrigir esse erro consiste em no [Simulation Waveform Editor] selecione [Simulation > Simulation Options], e clique sem [Restore Defaults] e em [Save]. Neste momento provavelmente irá ocorrer o próximo erro:

 ** Error (suppressible): (vsim-12110) The -novopt option has no effect on this product. -novopt option is now deprecated and will be removed in future releases 

Neste caso a solução é editar o arquivo de configuração. No [Simulation Waveform Editor] selecione [Simulation > Simulation Options], e edite a linha do comando vsim -novopt -c [....] removendo a opção -novopt. Faça isso nas abas [Functional Simulation Settings] e [Timing Simulation Settings], e em seguida clique em [Save]. Provavelmente não deverão mais ocorrer erros devido a configurações.

vsim -c [....]

Lembres-se que esses passos deverão ser feito para cada arquivo de simulação .vwf.

Uma solução melhor é abandonar definitivamente o simulador QSIM, e passar a utilizar o Modelsim.</path>

Possível solução geral

Procurar se algum arquivo de script ou configuração tem uma linha semelhante a:

vsim -novopt -c -t 1ps -L maxv -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim 
grep -r "vsim -novopt" *

Foram encontrados dois arquivos:

Remover a opção -novopt da linha
 vsim -novopt -t ps -L work -L work_lib -L base_kr_top -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixv_ver -L stratixv_hssi_ver -L stratixv_pcie_hip_ver -L work_reconfig -L work_rst_ctrl design_example_wrapper_nch

no arquivo:

/opt/intelFPGA/20.1/ip/altera/alt_xcvr/altera_xcvr_10gbase_kr/com_design_ex/enhanced_bundle/sim_n.tcl
sed -i 's|-novopt| |g' /opt/intelFPGA/20.1/ip/altera/alt_xcvr/altera_xcvr_10gbase_kr/com_design_ex/enhanced_bundle/sim_n.tcl
Remover a opção -novopt da linha
vsim -novopt -t ps -L work -L work_lib -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixv_ver -L stratixv_hssi_ver -L stratixv_pcie_hip_ver $TOP_LEVEL_NAME

no arquivo:

/opt/intelFPGA/20.1/quartus/qdesigns/binary_cam/mw/pll_sv_sim/mentor/msim_setup.tcl
sed -i 's|-novopt| |g' /opt/intelFPGA/20.1/quartus/qdesigns/binary_cam/mw/pll_sv_sim/mentor/msim_setup.tcl

Estes foram os únicos arquivos com essa opção -novopt