Palavras reservadas do VHDL

De MediaWiki do Campus São José
Revisão de 10h12min de 23 de setembro de 2016 por Moecke (discussão | contribs) (Criou página com 'Esta é uma lista das palavras reservadas do VHDL'87 e VHDL'93. Estas palavras não podem ser usados como identificadores pelo de objetos, labels na descrição do hardware. {| ...')
(dif) ← Edição anterior | Revisão atual (dif) | Versão posterior → (dif)
Ir para navegação Ir para pesquisar