Mudanças entre as edições de "Interfaces de entrada e saída da DE2-115"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 27: Linha 27:
 
</center>
 
</center>
  
<center>
+
<table border="1" cellpadding="2">
[[Arquivo:PinDE2-115-Display.png]]
+
<caption>DE2-115 7 Segments Display</caption>
</center>
+
</table><tr><th> Segment <th> Signal Name <th> FPGA Pin No. <th> I/O Standard
 +
<tr><td> a <td> HEX0[0] <td> PIN_G18 <td> 2.5V
 +
<tr><td> b <td> HEX0[1] <td> PIN_F22 <td> 2.5V
 +
<tr><td> c <td> HEX0[2] <td> PIN_E17 <td> 2.5V
 +
<tr><td> d <td> HEX0[3] <td> PIN_L26 <td> Depending on JP7
 +
<tr><td> e <td> HEX0[4] <td> PIN_L25 <td> Depending on JP7
 +
<tr><td> f <td> HEX0[5] <td> PIN_J22 <td> Depending on JP7
 +
<tr><td> g <td> HEX0[6] <td> PIN_H22 <td> Depending on JP7
 +
<tr><td> a <td> HEX1[0] <td> PIN_M24 <td> Depending on JP7
 +
<tr><td> b <td> HEX1[1] <td> PIN_Y22 <td> Depending on JP7
 +
<tr><td> c <td> HEX1[2] <td> PIN_W21 <td> Depending on JP7
 +
<tr><td> d <td> HEX1[3] <td> PIN_W22 <td> Depending on JP7
 +
<tr><td> e <td> HEX1[4] <td> PIN_W25 <td> Depending on JP7
 +
<tr><td> f <td> HEX1[5] <td> PIN_U23 <td> Depending on JP7
 +
<tr><td> g <td> HEX1[6] <td> PIN_U24 <td> Depending on JP7
 +
<tr><td> a <td> HEX2[0] <td> PIN_AA25 <td> Depending on JP7
 +
<tr><td> b <td> HEX2[1] <td> PIN_AA26 <td> Depending on JP7
 +
<tr><td> c <td> HEX2[2] <td> PIN_Y25 <td> Depending on JP7
 +
<tr><td> d <td> HEX2[3] <td> PIN_W26 <td> Depending on JP7
 +
<tr><td> e <td> HEX2[4] <td> PIN_Y26 <td> Depending on JP7
 +
<tr><td> f <td> HEX2[5] <td> PIN_W27 <td> Depending on JP7
 +
<tr><td> g <td> HEX2[6] <td> PIN_W28 <td> Depending on JP7
 +
<tr><td> a <td> HEX3[0] <td> PIN_V21 <td> Depending on JP7
 +
<tr><td> b <td> HEX3[1] <td> PIN_U21 <td> Depending on JP7
 +
<tr><td> c <td> HEX3[2] <td> PIN_AB20 <td> Depending on JP6
 +
<tr><td> d <td> HEX3[3] <td> PIN_AA21 <td> Depending on JP6
 +
<tr><td> e <td> HEX3[4] <td> PIN_AD24 <td> Depending on JP6
 +
<tr><td> f <td> HEX3[5] <td> PIN_AF23 <td> Depending on JP6
 +
<tr><td> g <td> HEX3[6] <td> PIN_Y19 <td> Depending on JP6
 +
<tr><td> a <td> HEX4[0] <td> PIN_AB19 <td> Depending on JP6
 +
<tr><td> b <td> HEX4[1] <td> PIN_AA19 <td> Depending on JP6
 +
<tr><td> c <td> HEX4[2] <td> PIN_AG21 <td> Depending on JP6
 +
<tr><td> d <td> HEX4[3] <td> PIN_AH21 <td> Depending on JP6
 +
<tr><td> e <td> HEX4[4] <td> PIN_AE19 <td> Depending on JP6
 +
<tr><td> f <td> HEX4[5] <td> PIN_AF19 <td> Depending on JP6
 +
<tr><td> g <td> HEX4[6] <td> PIN_AE18 <td> Depending on JP6
 +
<tr><td> a <td> HEX5[0] <td> PIN_AD18 <td> Depending on JP6
 +
<tr><td> b <td> HEX5[1] <td> PIN_AC18 <td> Depending on JP6
 +
<tr><td> c <td> HEX5[2] <td> PIN_AB18 <td> Depending on JP6
 +
<tr><td> d <td> HEX5[3] <td> PIN_AH19 <td> Depending on JP6
 +
<tr><td> e <td> HEX5[4] <td> PIN_AG19 <td> Depending on JP6
 +
<tr><td> f <td> HEX5[5] <td> PIN_AF18 <td> Depending on JP6
 +
<tr><td> g <td> HEX5[6] <td> PIN_AH18 <td> Depending on JP6
 +
<tr><td> a <td> HEX6[0] <td> PIN_AA17 <td> Depending on JP6
 +
<tr><td> b <td> HEX6[1] <td> PIN_AB16 <td> Depending on JP6
 +
<tr><td> c <td> HEX6[2] <td> PIN_AA16 <td> Depending on JP6
 +
<tr><td> d <td> HEX6[3] <td> PIN_AB17 <td> Depending on JP6
 +
<tr><td> e <td> HEX6[4] <td> PIN_AB15 <td> Depending on JP6
 +
<tr><td> f <td> HEX6[5] <td> PIN_AA15 <td> Depending on JP6
 +
<tr><td> g <td> HEX6[6] <td> PIN_AC17 <td> Depending on JP6
 +
<tr><td> a <td> HEX7[0] <td> PIN_AD17 <td> Depending on JP6
 +
<tr><td> b <td> HEX7[1] <td> PIN_AE17 <td> Depending on JP6
 +
<tr><td> c <td> HEX7[2] <td> PIN_AG17 <td> Depending on JP6
 +
<tr><td> d <td> HEX7[3] <td> PIN_AH17 <td> Depending on JP6
 +
<tr><td> e <td> HEX7[4] <td> PIN_AF17 <td> Depending on JP6
 +
<tr><td> f <td> HEX7[5] <td> PIN_AG18 <td> Depending on JP6
 +
<tr><td> g <td> HEX7[6] <td> PIN_AA14 <td> 3.3V
 +
</table>

Edição das 15h30min de 18 de setembro de 2014

Interfaces

InterfacesDE2-115.png

Pinagem das Chaves SW01-17

ConectDE2-115-SW1a17.png

PinDE2-115-SW1a17.png

Ligação e pinagem dos Leds

ConectDE2-115-LEDR.png

PinDE2-115-LEDR.png

Ligação e pinagem dos Displays

ConectDE2-115-Display.png

DE2-115 7 Segments Display

Segment Signal Name FPGA Pin No. I/O Standard a HEX0[0] PIN_G18 2.5V b HEX0[1] PIN_F22 2.5V c HEX0[2] PIN_E17 2.5V d HEX0[3] PIN_L26 Depending on JP7 e HEX0[4] PIN_L25 Depending on JP7 f HEX0[5] PIN_J22 Depending on JP7 g HEX0[6] PIN_H22 Depending on JP7 a HEX1[0] PIN_M24 Depending on JP7 b HEX1[1] PIN_Y22 Depending on JP7 c HEX1[2] PIN_W21 Depending on JP7 d HEX1[3] PIN_W22 Depending on JP7 e HEX1[4] PIN_W25 Depending on JP7 f HEX1[5] PIN_U23 Depending on JP7 g HEX1[6] PIN_U24 Depending on JP7 a HEX2[0] PIN_AA25 Depending on JP7 b HEX2[1] PIN_AA26 Depending on JP7 c HEX2[2] PIN_Y25 Depending on JP7 d HEX2[3] PIN_W26 Depending on JP7 e HEX2[4] PIN_Y26 Depending on JP7 f HEX2[5] PIN_W27 Depending on JP7 g HEX2[6] PIN_W28 Depending on JP7 a HEX3[0] PIN_V21 Depending on JP7 b HEX3[1] PIN_U21 Depending on JP7 c HEX3[2] PIN_AB20 Depending on JP6 d HEX3[3] PIN_AA21 Depending on JP6 e HEX3[4] PIN_AD24 Depending on JP6 f HEX3[5] PIN_AF23 Depending on JP6 g HEX3[6] PIN_Y19 Depending on JP6 a HEX4[0] PIN_AB19 Depending on JP6 b HEX4[1] PIN_AA19 Depending on JP6 c HEX4[2] PIN_AG21 Depending on JP6 d HEX4[3] PIN_AH21 Depending on JP6 e HEX4[4] PIN_AE19 Depending on JP6 f HEX4[5] PIN_AF19 Depending on JP6 g HEX4[6] PIN_AE18 Depending on JP6 a HEX5[0] PIN_AD18 Depending on JP6 b HEX5[1] PIN_AC18 Depending on JP6 c HEX5[2] PIN_AB18 Depending on JP6 d HEX5[3] PIN_AH19 Depending on JP6 e HEX5[4] PIN_AG19 Depending on JP6 f HEX5[5] PIN_AF18 Depending on JP6 g HEX5[6] PIN_AH18 Depending on JP6 a HEX6[0] PIN_AA17 Depending on JP6 b HEX6[1] PIN_AB16 Depending on JP6 c HEX6[2] PIN_AA16 Depending on JP6 d HEX6[3] PIN_AB17 Depending on JP6 e HEX6[4] PIN_AB15 Depending on JP6 f HEX6[5] PIN_AA15 Depending on JP6 g HEX6[6] PIN_AC17 Depending on JP6 a HEX7[0] PIN_AD17 Depending on JP6 b HEX7[1] PIN_AE17 Depending on JP6 c HEX7[2] PIN_AG17 Depending on JP6 d HEX7[3] PIN_AH17 Depending on JP6 e HEX7[4] PIN_AF17 Depending on JP6 f HEX7[5] PIN_AG18 Depending on JP6 g HEX7[6] PIN_AA14 3.3V