Mudanças entre as edições de "Exemplo de uso de memória para a geração de sinais"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
(Criou página com 'Para exemplificar o uso da memória na geração de sinais utilizaremos como exemplo uma memória ROM de duas portas, a qual será inicializada com valores obtidos a partir de um...')
 
Linha 8: Linha 8:
 
*Como testar a leitura da memória ROM usando o Modelsim
 
*Como testar a leitura da memória ROM usando o Modelsim
 
==Criando uma memória ROM com o MegaWizard==
 
==Criando uma memória ROM com o MegaWizard==
 +
*Inicie um projeto no Quartus usando [File > New Project Wizard]
 +
*Configure a memória ROM usando o MegaWizard [Tools > MegaWizard Plug-In Manager]
 +
:* Selecione (x) Create a new custom megafunction variation [NEXT] (page 1)
 +
:* Selecione em [Select a megafunction from the list below > Memory Compiler > ROM: 2-PORT] e de um nome ao arquivo [NEXT] (page 2)
 +
:* Mude apenas [How many 8-bits words of memory? -> 1024]  [NEXT] (page 3)
 +
:*[NEXT] (page 4)
 +
:*[NEXT] (page 6)
 +
:*Selecione (x) Yes, use this file for the memory content data. Digite em File name: {signal.mif} [NEXT] (page 8)
 +
:*[NEXT] (page 9)
 +
:*[FINISH] (page 10)

Edição das 15h42min de 3 de julho de 2012

Para exemplificar o uso da memória na geração de sinais utilizaremos como exemplo uma memória ROM de duas portas, a qual será inicializada com valores obtidos a partir de um arquivo .wav.

O que você vai apreender

Este exemplo cobre os seguintes tópicos:

  • Como criar uma memória ROM usando o MegaWizard da Altera
  • Como inicializar a memória com um arquivo signal.mif
  • Como obter o arquivo signal.mif a partir de um arquivo signal.wav
  • Como obter o arquivo signal.wav usando o Audacity
  • Como testar a leitura da memória ROM usando o Modelsim

Criando uma memória ROM com o MegaWizard

  • Inicie um projeto no Quartus usando [File > New Project Wizard]
  • Configure a memória ROM usando o MegaWizard [Tools > MegaWizard Plug-In Manager]
  • Selecione (x) Create a new custom megafunction variation [NEXT] (page 1)
  • Selecione em [Select a megafunction from the list below > Memory Compiler > ROM: 2-PORT] e de um nome ao arquivo [NEXT] (page 2)
  • Mude apenas [How many 8-bits words of memory? -> 1024] [NEXT] (page 3)
  • [NEXT] (page 4)
  • [NEXT] (page 6)
  • Selecione (x) Yes, use this file for the memory content data. Digite em File name: {signal.mif} [NEXT] (page 8)
  • [NEXT] (page 9)
  • [FINISH] (page 10)