Mudanças entre as edições de "Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 1: Linha 1:
 
__NOTOC__
 
__NOTOC__
 
;Autor:
 
;Autor:
Kamila Rose da Silva - [http://lattes.cnpq.br/ Currículo Lattes]
+
Kamila Rose da Silva - [http://lattes.cnpq.br/2147937415000988 Currículo Lattes]
 
;Orientador:  
 
;Orientador:  
prof [[Marcos Moecke]]
+
Prof. [[Marcos Moecke]]
 
;Curso:
 
;Curso:
 
CST em Sistemas de Telecomunicações
 
CST em Sistemas de Telecomunicações
Linha 19: Linha 19:
  
 
;[[Media:TCCCSTST???.pdf |Monografia.pdf]]:
 
;[[Media:TCCCSTST???.pdf |Monografia.pdf]]:
 
;
 
 
;Publicações decorrentes do trabalho:
 
* listar se tiver.
 
  
 
;Apêndices:
 
;Apêndices:

Edição das 21h20min de 31 de agosto de 2016

Autor

Kamila Rose da Silva - Currículo Lattes

Orientador

Prof. Marcos Moecke

Curso

CST em Sistemas de Telecomunicações

Resumo

O sistema de numeração binário, junto da aritmética binária, é de extrema importância para a realização de aplicações em sistemas que envolvem processamento de sinais digitais, fundamentais em sistemas de telecomunicações. O desenvolvimento dos circuitos baseia-se na implementação em dispositivos lógicos programáveis, prática que vem se expandindo em aplicações em hardware, por sua reconfigurabilidade e maior velocidade de execução quando comparado a outros métodos. Neste trabalho todo o hardware foi implementado em VHDL permitindo avaliar quesitos como quantidade de hardware utilizado, tempo de propagação do caminho crítico e clock máximo. Para a avaliação de desempenho foi construído um cenário de testes para permitir a variar a quantidade de bits das entradas e saídas dos circuitos entre 4 até N bits. O ambiente de teste criado com o uso dos deserializadores e serializados para alimentar com dados os dispositivos sob teste (somadores e multiplicadores) juntamente com o uso da metodologia LogickLock e dos atributos de {\it keep} do VHDL permitiram realizar efetivamente a comparação de desempenho das diferentes implementações. As avaliações realizadas mostram que a descrição das operações de soma, subtração e multiplicação através dos respectivos operadores VHDL resultou em um melhor desempenho tanto no quesito tempo de propagação como no número de elementos lógicos utilizados, exceto em alguns casos. Para o somador de 4 bits, o menor atraso de propagação foi obtido com os circuitos Carry select, Carry chain e Carry skip. Por outro lado, para o somador de 32 bits, a implementação Carry lookahead 16 bits teve melhor desempenho em termos de atraso de propagação, a um custo de hardware quase 8 vezes maior. Para o multiplicador os dados obtidos também mostram que o uso do operador VHDL além de resultar no melhor desempenho tanto em relação ao tempo de propagação como na quantidade de hardware utilizado, ainda possibilita habilitar no compilador o uso dos multiplicadores embutidos no FPGA, resultando em redução do atraso de propagação entre 30% a 45%.

Palavras chave

Desempenho de circuitos aritméticos, tipos de somadores, multiplicadores, FPGA, VHDL.

Abstract

blablabla

Keywords

bla, bla, bla

Monografia.pdf
Apêndices

Mais informações sobre este trabalho podesm ser encontradas nas paginas a seguir:

  1. Códigos dos circuitos implementados
  2. Códigos para a simulação com Modelsim dos circuitos implementados
  3. Tutoriais Quartus 14
  4. Uso do TimeQuest
  5. Optimization Tecniques
  6. Uso do Modelsim
  7. PowerPlay Analyser
  8. Analysis & Synthesis Settings
  9. Uso do Slow 85ºC