Resultados da pesquisa

Ir para navegação Ir para pesquisar
  • :*Utilizar a ferramenta de simulação ModelSim®. ...o para realizar a simulação da aplicação e seu de comportamento utilizando a ferramenta de simulação ModelSim®.
    15 kB (2 511 palavras) - 17h51min de 20 de novembro de 2022
  • :*Utilizar a ferramenta de simulação ModelSim®. ...o para realizar a simulação da aplicação e seu de comportamento utilizando a ferramenta de simulação ModelSim®.
    15 kB (2 511 palavras) - 14h18min de 29 de maio de 2023
  • ...randes aliadas no desenvolvimento de aplicações embarcadas, pois facilitam a vida do desenvolvedor, em FPGA é extremamente recomendado que todo o códi ...a acontecer ou por necessitar de vários equipamentos externos para simular a situação em que ocorreriam.
    15 kB (2 496 palavras) - 15h33min de 29 de junho de 2020
  • ...randes aliadas no desenvolvimento de aplicações embarcadas, pois facilitam a vida do desenvolvedor, em FPGA é extremamente recomendado que todo o códi ...a acontecer ou por necessitar de vários equipamentos externos para simular a situação em que ocorreriam.
    15 kB (2 472 palavras) - 16h35min de 10 de junho de 2019
  • ...randes aliadas no desenvolvimento de aplicações embarcadas, pois facilitam a vida do desenvolvedor, em FPGA é extremamente recomendado que todo o códi ...a acontecer ou por necessitar de vários equipamentos externos para simular a situação em que ocorreriam.
    15 kB (2 472 palavras) - 12h00min de 30 de outubro de 2019
  • ...m para realizar a simulação funcional e também temporal. É requisito para a realização do tutorial o acesso ao software Quartus II e Modelsim ou Mode ...o caminho correspondente ao versão '''ae''' ou '''ase'''. Em seguida faça a ('''Processing > Start > Analysis & Synthesis''') do projeto. Em seguida a
    12 kB (1 836 palavras) - 21h00min de 14 de setembro de 2022
  • ::Ver pag. 413 a 418 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. ::Ver pag. 419 a 424 de <ref name="PEDRONI2010a" />
    31 kB (4 716 palavras) - 15h01min de 16 de maio de 2016
  • ::Ver pag. 413 a 422 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. ::Ver pag. 495 a 499 de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:M
    47 kB (7 099 palavras) - 18h10min de 7 de julho de 2017
  • ::Ver pag. 413 a 431 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010. ::Ver pag. 495 a 501 de de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:M
    60 kB (9 193 palavras) - 23h13min de 1 de dezembro de 2017
  • ...printer|printers]], [[digital camera]]s, and [[video game console]]s over a secure, globally unlicensed short-range [[radio frequency]]. The Bluetooth ...communications protocol primarily designed for low power consumption, with a short range (power-class-dependent: 1 meter, 10 [[meter]]s, 100 meters) bas
    20 kB (2 987 palavras) - 11h41min de 9 de maio de 2007
  • ...zação no Chip Planner de um projeto. (importante todos alunos terem acesso a [[IFSC-CLOUD]] ::Ver pag. 413 a 431 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010.
    78 kB (12 255 palavras) - 01h15min de 6 de julho de 2018
  • ...zação no Chip Planner de um projeto. (importante todos alunos terem acesso a [[IFSC-CLOUD]] ::Ver pag. 413 a 431 de <ref name="PEDRONI2010a"> PEDRONI, Volnei A. Eletrônica digital moderna e VHDL; 1ª ed. Rio de Janeiro:Elsevier, 2010.
    87 kB (13 598 palavras) - 15h07min de 12 de dezembro de 2018
  • ...ellite television services supplement older terrestrial signals, providing a wider range of channels and services, including subscription-only services. ...satellite. The transponder 'retransmits' the signals back to Earth but at a different frequency band (to avoid interference with the uplink signal), ty
    28 kB (4 211 palavras) - 11h35min de 11 de maio de 2007
  • ===Unidade 1 - Introdução a disciplina=== {{collapse top|Unidade 1 - Introdução a disciplina}}
    117 kB (18 011 palavras) - 15h32min de 18 de agosto de 2020
  • {{collapse top| Unidade 1 - Introdução a disciplina}} ===Unidade 1 - Introdução a disciplina===
    109 kB (17 126 palavras) - 15h16min de 28 de junho de 2019
  • ===Unidade 1 - Introdução a disciplina=== {{collapse top | Unidade 1 - Introdução a disciplina}}
    126 kB (19 549 palavras) - 16h06min de 18 de maio de 2021
  • A disciplina de Avaliação de Desempenho de Sistemas da Engenharia de Teleco tem como foco a avaliação de redes de telecomunicações usando as três abordagens
    92 kB (12 049 palavras) - 22h01min de 19 de dezembro de 2017
  • ...embly'') exige um conhecimento acerca do conjunto de registradores da CPU, a estrutura de memória, os tipos de dados disponíveis na máquina e o funci A operação de uma CPU é determinada pelas instruções que ela executa, co
    64 kB (10 885 palavras) - 17h50min de 27 de julho de 2021
  • ...embly'') exige um conhecimento acerca do conjunto de registradores da CPU, a estrutura de memória, os tipos de dados disponíveis na máquina e o funci A operação de uma CPU é determinada pelas instruções que ela executa, co
    65 kB (11 049 palavras) - 15h25min de 5 de setembro de 2023
  • ...embly'') exige um conhecimento acerca do conjunto de registradores da CPU, a estrutura de memória, os tipos de dados disponíveis na máquina e o funci A operação de uma CPU é determinada pelas instruções que ela executa, co
    65 kB (11 028 palavras) - 12h25min de 26 de março de 2024

Ver (20 anteriores | próximos 20) (20 | 50 | 100 | 250 | 500)