Mudanças entre as edições de "DLP2-EngTel (página)"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
(Redirecionamento removido para DLP29007-2020-1)
Etiqueta: Redirecionamento removido
 
(178 revisões intermediárias por 4 usuários não estão sendo mostradas)
Linha 1: Linha 1:
= EngTel: Dispositivos Lógicos Programáveis II =
+
Página da disciplina hospedada no [https://moodle.ifsc.edu.br/course/view.php?id=7452 Moodle]
  
*'''Professores:''' [[Arliones Hoeller]] e [[Marcos Moecke]]
 
*'''Turma:''' 29007
 
*'''Encontros:''' semanalmente nas terças e quinzenalmente nas quartas às 15:40 no Laboratório de Programação.
 
*'''Atendimento Extra-classe:'''
 
**Arliones
 
*** Terças das 9:40 às 10:35
 
*** Quintas das 13:30 às 14:25
 
**Marcos
 
***
 
***
 
  
== [[DLP2-EngTel_(Plano_de_Ensino)|'''Plano de Ensino''']] ==
+
<!--
  
== [[Cronograma de atividades (DLP2-EngTel)|'''Cronograma de Atividades''']] ==
+
#REDIRECT[[DLP29007-2020-1]]
  
= Material das Aulas =
+
= Dispositivos Lógicos Programáveis II =
  
== Referências Bibliográficas ==
+
*'''Professor:''' [[Roberto de Matos]]
 +
*[[DLP2-EngTel_(Plano_de_Ensino)| Plano de Ensino]] ('''NÃO OFICIAL - SENDO REVISTO''')
 +
*[[Cronograma de atividades (DLP2-EngTel) | Cronograma]]
 +
*[[Horários dos Cursos do IFSC Campus São José | Horário das aulas e atendimento paralelo]]
  
#Pong P. Chu, RTL Hardware Design Using VHDL: Coding for Efficiency, Portability, and Scalability. Wiley-IEEE Press, Hoboken, 2006, Pages 1-22, ISBN 0471720925.
+
= Material de aula =
#David Money Harris and Sarah L. Harris, Digital Design and Computer Architecture, Morgan Kaufmann, Burlington, 2007, Pages 3-48, ISBN 9780123704979, http://dx.doi.org/10.1016/B978-012370497-9/50002-0.
 
  
== Notas de aulas ==
+
*[[DLP29007-2019-1 | Semestre 2019-1 - Prof. Roberto de Matos]]
 +
 
 +
 
 +
{{collapse top | Semestres Anteriores}}
 +
*[[DLP29007-2018-2 | Semestre 2018-2 - Prof. Roberto de Matos]]
 +
*[[DLP29007-2018-1 | Semestre 2018-1 - Prof. Roberto de Matos]]
 +
*[[DLP29007-Engtelecom(2017-2) - Prof. Marcos Moecke | Semestre 2017-2 - Prof. Marcos Moecke]] - Wiki
 +
*[https://moodle.sj.ifsc.edu.br/course/view.php?id=179 Semestre 2017-2 - Prof. Arliones] - Moodle
 +
*[[DLP29007-Engtelecom(2017-1) - Prof. Marcos Moecke | Semestre 2017-1 - Prof. Marcos Moecke]] - Wiki
 +
*[https://moodle.sj.ifsc.edu.br/course/view.php?id=151 Semestre 2017-1 - Prof. Arliones] - Moodle
 +
*[[DLP2-EngTel (página) - 2016-2 (prof. Arliones e Marcos) | Semestre 2016-2 - Prof. Marcos Moecke e Arliones]]
 +
*[[DLP2-EngTel (página) - 2016-1 (prof. Arliones e Marcos) | Semestre 2016-1 - Prof. Marcos Moecke e Arliones]]
 +
*[[DLP2-EngTel (página) - 2015-2 (prof. Arliones e Marcos) | Semestre 2015-2 - Prof. Marcos Moecke e Arliones]]
 +
*[[DLP29007-2015-1 | Semestre 2015-1 - Prof. Marcos Moecke e Arliones]]
 +
{{collapse bottom}}
 +
== Notas de aula ==
  
 
Estas notas de aula são baseadas nas dispobilizadas pelo Prof. Pong P. Chu em [http://academic.csuohio.edu/chu_p/rtl/rtl_hardware.html].
 
Estas notas de aula são baseadas nas dispobilizadas pelo Prof. Pong P. Chu em [http://academic.csuohio.edu/chu_p/rtl/rtl_hardware.html].
Linha 29: Linha 36:
 
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture01-complexity.pdf Lecture 01: Complexity Mangement and the Design of Complex Digital Systems]
 
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture01-complexity.pdf Lecture 01: Complexity Mangement and the Design of Complex Digital Systems]
 
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture02-synthesis.pdf Lecture 02: Synthesis of VHDL Code]
 
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture02-synthesis.pdf Lecture 02: Synthesis of VHDL Code]
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture03-combinational.pdf Lecture 02: Efficient Design of Combinational Circuits]
+
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture03-efficient_comb_circuits.pdf Lecture 03: Efficient Design of Combinational Circuits]
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture04-sequential.pdf Lecture 03: Efficient Design of Sequential Circuits]
+
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture04-efficient_seq_circuits.pdf Lecture 04: Efficient Design of Sequential Circuits]
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture05-sequetial_design.pdf Lecture 04: Design of Sequential Circuits: Practice]
+
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture05-sequetial_circuit_design.pdf Lecture 05: Design of Sequential Circuits: Practice]
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture06-fsm.pdf Lecture 05: Finite State Machines: Principle and Practice]
+
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture06-fsm.pdf Lecture 06: Finite State Machines: Principle and Practice]
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture07-register_transfer.pdf Lecture 06: Register Transfer Methodology: Principle]
+
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture07-register_transfer.pdf Lecture 07: Register Transfer Methodology: Principle]
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/slides/dlp29007-lecture08-register_transfer_examples.pdf Lecture 08: Register Transfer Methodology: Practice]
 +
*[https://www.dropbox.com/s/wts1ai0ltlg27p9/DLP29007%20-%20Projeto%20Hierarquico%20Parametrizado%20v2.pdf?dl=0 Projeto Hierárquico e Parametrizado]
 +
 
 +
== Roteiros ==
 +
 
 +
* [[Medição de tempos de propagação em circuitos combinacionais]]
 +
* [[Uso de Logic Lock para definir a área a ser ocupada pelo circuito]]
 +
* [[Laboratórios com Altera NIOS2]]
 +
* [[Configuração e uso do Signal Tap]]
 +
 
 +
==Avaliação==
 +
Neste tópico serão listadas as Atividades Práticas realizadas ao longo do semestre. Para cada uma dessas atividades o aluno/equipe que não entrega-la no prazo preestabelecido, poderá entregar a atividade com: uma semana de atraso obtendo no máximo o valor 8; duas semanas de atraso obtendo no máximo o valor 6; três semanas de atraso obtendo no máximo o valor 4;
 +
 
 +
Os critérios de avaliação estão descritos no [[DLP2-EngTel_(Plano_de_Ensino)| Plano de Ensino]].
 +
 
 +
===PARA ENTREGAR===
 +
Neste semestre as atividades avaliativas estão sendo entregues através da [http://moodlenovo.sj.ifsc.edu.br/course/view.php?id=151 plataforma Moodle]. O aluno deverá fazer o login usando o login e senha do portal do aluno.
 +
 
 +
 
 +
{{collapse top | AE1 - Projeto de SoC baseado em NIOS2 (prazo 05/10/2016)}}
 +
Nesta atividade, você vai integrar os desenvolvimentos realizados nos laboratórios envolvendo NIOS2 e SignalTap. As etapas a serem executadas são as seguintes:
 +
 
 +
* Crie um processador NIOS2 com três on-chip FIFOs: duas saídas de 32 bits e uma entrada de X bits (sendo X a saída adequada à operação);
 +
* Conecte a este processador, via FIFOs, um componente externo (ver relação de componentes abaixo);
 +
* Implemente uma aplicação (software) que gere valores aleatórios para as saídas e leia o resultado da operação feita em hardware na entrada;
 +
* Utilize o SignalTap para verificar quantos ciclos de clock são necessários para completar uma operação no hardware;
 +
* Implemente a operação equivalente do módulo de hardware em software;
 +
* Utilize um timer para medir o tempo da operação em software;
 +
* Utilize os performance counters para medir o tempo para realizar a operação em software;
 +
* Relate e discuta seus resultados, apresentando o esquema dos seus testes, os códigos-fontes das aplicações, em formato de artigo e com 6 páginas no máximo.
 +
* Envie o artigo em pdf para (<tt>moecke AT ifsc.edu.br</tt> E <tt>arliones.hoeller AT ifsc.edu.br</tt>), com o ASSUNTO: DLP29007 - AE1.
 +
 
 +
Módulos para serem usados por cada grupo:
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/fifo_mult.vhd Multiplicador] => Iago, Marcos
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/fifo_xor.vhd XOR] => André, Helen
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/fifo_dist.vhd Distância (sqrt(a^2 + b^2))] => Katharine, Kristine
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/fifo_add.vhd Soma] => Gabriel, Maria
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/fifo_div.vhd Divisão] => Fernando, João
 +
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/fifo_mod.vhd Módulo] => Gustavo, Tamara
 +
*Observação: para alguns circuitos pode ser necessário utilizar um clock mais lento. Para isto, utilize um LPM_COUNTER criado pela ferramenta MegaWizard, como fizemos em aula para gerar alguns componentes.
 +
{{collapse bottom}}
 +
 
 +
 
 +
{{collapse top | AE2 - Circuitos Combinacionais  (prazo 19/10/2016 as 23h59)}}
 +
* Formar equipes com 2 alunos. A formação das equipes deve ser informado no [https://www.facebook.com/groups/DLP29007/ Facebook] de DLP29007. Cada equipe deverá trabalhar com um dos temas de 1 a 6.
 +
:'''TEMA 1''' - Implementar o circuito "barrel shifter" (Listing 7.13/7.14, 7.29).  pag. 178-180; 192-196
 +
::Realize os testes com 8 e 16 bits de entrada.
 +
:'''TEMA 2''' - Implementar o circuito "XOR" e "XOR vector" (Listing 7.17, 7.18, 7.21, 7.22, 14.12).  pag. 180-187; 514-515.
 +
::Realize os testes com 8 e 16 bits de entrada.
 +
:'''TEMA 3''' - Implementar os circuitos codificadores de prioridade (Listing 7.24, 7.25 + 7.26). pag. 187-192;
 +
::Realize os testes com 16 entradas 4 bits de saída, 32 entradas 5 bits de saída.
 +
:'''TEMA 4''' - Implementar os circuitos incrementadores de código Gray (Listing 7.30, 7.31). pag. 196-199
 +
::Realize os testes com 4 e 5 bits. para L.7.30, e 4, 5 e 8 bits para L.31.
 +
::Transforme ambos circuitos em decrementadores de código Gray.
 +
:'''TEMA 5''' -  Implementar os circuitos para cálculo da [https://en.wikipedia.org/wiki/Hamming_distance distância de Hamming] (Listing 7.36, 7.37) pag. 206-208
 +
::Realize os testes com 8 bits de entrada e com 16 bits.
 +
:'''TEMA 6''' -  Implementar o circuito multiplicador baseado em somas (Listing 7.34, 7.35). pag. 203-206
 +
::Realize os testes com entradas de 8 bits e saída 16 bits de saída, e com entradas de 12 bits e saída 24 bits de saída.
 +
::Compare os resultados com um multiplicador baseado no operador de multiplicação "*" do VHDL.
  
* [http://tdb Lecture 07: Register Transfer Methodology: Practice]
+
* Compare o hardware necessário para implementar os circuitos utilizando a famílias de FPGA [CYCLONE].  Utilize sempre o menor '''Device''' que possua os elementos e pinos suficientes para o circuito proposto.
* [http://tdb Lecture 08: Hierarchical Design]
+
*Realize a simulação funcional de cada circuito usando sempre o mesmo padrão de sinais de entrada.
* [http://tdb Lecture 09: Parameterized Design: Principle]
+
* Para cada circuito anote os resultados de: número de pinos, número de elementos lógicos/ALUT (indicando os Normais | Aritméticos), atraso de propagação, caminho crítico.
* [http://tdb Lecture 10: Parameterized Design: Practice]
+
::Esses dados estão disponíveis nos seguintes relatórios: ('''Fitter > Resource Section > Resource Usage'''), ('''TimeQuest Timing Analyser > Datasheet Report > Propagation Delay''')
* [http://tdb Lecture 11: Clock and Synchronization: Principle and Practice]
+
*No caso do atraso de propagação, verifique para o caminho crítico o atraso de propagação interno, anote e subtraia os tempos dos pinos de I/O, os tempos de propagação do sinal até o primeiro elemento lógico e o tempo de propagação após o último elemento lógico.
 +
::Ver:[[Medição de tempos de propagação em circuitos combinacionais]]
 +
*Para o circuito com maior número de bits, insira restrições temporais para obter um circuito com menor atraso no caminho crítico.  Neste caso procure reduzir gradualmente a tempo máximo de propagação "tp" até atingir o menor valor.
 +
set_max_delay -from [get_ports *] -to [get_ports *] tp
  
===Atividades extra===
+
* Escreva um relatório técnico na forma de artigo com 4 a 8 paginas A4. O relatório além das tabelas com os dados, deverá conter as figuras dos circuitos RTL que expliquem as diferenças entre os circuitos, simulações funcionais e uma análise dos resultados obtidos.  O arquivo com o QAR do projeto deve ser também enviado.  
Neste tópico serão listadas as atividades extras que os alunos da disciplina deverão realizar ao longo do cursoÉ importante observar o prazo de entrega, pois os conceitos serão reduzidos conforme o atraso na entrega.
+
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a plataforma [http://200.135.233.26:3000/project Sharelatex do IFSC-CLOUD]. Utilize preferencialmente o [http://200.135.233.26:3000/project/54750cb57ae8187440d60acd  modelo de artigo no padrão ABNT].
Para a entrega no prazo os conceitos possíveis são (A, B, C, D).  Entrega com até uma semana de atraso (B, C, D). Entrega com até duas semanas de atraso (C ou D). Entrega com mais de duas semanas de atraso (D).  
+
* Envie o artigo em pdf para (<tt>moecke AT ifsc.edu.br</tt> E <tt>arliones.hoeller AT ifsc.edu.br</tt>), com o ASSUNTO: DLP29007 - AE2 - Projeto de Circuitos Combinacionais.
Em virtude do número impar de alunos na turma, os trabalhos em equipes serão sempre 3 diferentes, onde um dos alunos deverá trabalhar sozinhoAo longo do semestre todos terão o privilégio de trabalhar em equipe consigo mesmo.
+
{{collapse bottom}}
+
 
 +
{{collapse top | AE3 - Circuitos Sequencias (prazo 04/11/2016 23h59)}}
 +
* Formar equipes com 2 ou 3 alunos. A formação das equipes deve ser informado no [https://www.facebook.com/groups/DLP29007/ Facebook] de DLP29007. Cada equipe deverá trabalhar com um dos temas de 1 a 6. (todos os contadores devem ser genéricos para N bits)
 +
 
 +
:'''TEMA 1''' - Estudar e implementar o Contador Gray
 +
::* Versão 1 (Listing 9.7).  pag. 265
 +
::* Versão 2 [https://www.altera.com/support/support-resources/design-examples/design-software/vhdl/vhd-gray-counter.html]
 +
::* Versão 3 [http://www.asic-world.com/examples/vhdl/gray.html]
 +
::* Versão 4 Modifique a versão 3, separando claramente a lógica ''combinacional de next-state'', ''combinacional de output'', e ''register''.
 +
 
 +
:'''TEMA 2''' - Estudar e implementar o Contador em Anel
 +
::* Versão 1 (Listing 9.8).  pag. 267
 +
::* Versão 2 (Listing 9.9).  pag. 268
 +
::* Versão 3 [http://www.embarcados.com.br/implementacao-de-um-shift-register-em-vhdl] [http://www.andrecastelan.com.br/implementacao-de-um-shift-register-em-vhdl/] 
 +
::* Versão 4 Modifique a versão 3, separando claramente a lógica ''combinacional de next-state'', ''combinacional de output'', e ''register''.
 +
 
 +
:'''TEMA 3''' - Estudar e implementar o Contador Decimal e Contador One Hot
 +
::* Versão 1 (Listing 9.12). pag. 273
 +
::* Versão 2 (Listing 9.13). pag. 274
 +
::* Versão 3 Contador One Hot [http://www.asic-world.com/examples/vhdl/one_hot.html#One_Hot_Counter].
 +
::* Versão 4 Modifique a versão 3, separando claramente a lógica ''combinacional de next-state'', ''combinacional de output'', e ''register''.
 +
 
 +
:'''TEMA 4''' - Estudar e implementar o Contador LFSR (Linear Feedback Shift Register)
 +
::* Versão 1 (Listing 9.10).  pag. 270
 +
::* Versão 2 (Listing 9.11). pag. 272
 +
::* Versão 3 [http://www.asic-world.com/examples/vhdl/lfsr.html#Random_Counter_(LFSR)]
 +
::* Versão 4 Modifique a versão 3, separando claramente a lógica ''combinacional de next-state'', ''combinacional de output'', e ''register''.
 +
 
 +
:'''TEMA 5''' - Estudar e implementar o PWM
 +
::* Versão 1 (Listing 9.14)pag. 275
 +
::* Versão 2 [https://eewiki.net/download/attachments/20939345/pwm_v1_0.vhd?version=1&modificationDate=1420836042247&api=v2]
 +
::* Versão 3 [http://fpgacenter.com/examples/servo/VhdlCode.php]
 +
::* Versão 4 Modifique a versão 3, separando claramente a lógica ''combinacional de next-state'', ''combinacional de output'', e ''register''.  
  
;PARA ENTREGAR:
+
:'''TEMA 6''' - Estudar e implementar relógio HH:MM:SS, considerando o clock de entrada de 50 MHz
{{collapse top | expand=true | AE1 - Circuitos Combinacionais (prazo 17/11/2015)}}
+
::* Versão 1 (alterar a Listing 9.5), página 263 para incluir a hora
*Comparar o hardware necessário para implementar os circuitos abaixo utilizando as seguintes famílias de FPGA [CYCLONE | STRATIX II | MAX 3000]. Utilize sempre o menor Device de cada familia, que possua os elementos e pinos suficientes para o circuito proposto.
+
::* Versão 2 (alterar a Listing 9.6), página 265 para incluir a hora
*Faça a compilação de cada circuito utilizando as otimizações [Balanceada | Speed | Area]
+
::* Versão 3 Implementar o Digital Clock com SSDs da seção 12.5 de <ref name="PEDRONI2010b"> PEDRONI, Volnei A. '''Circuit Design and Simulation with VHDL'''; 2ª ed. Massachusetts-EUA:MIT, 2010. 608 p.  ISBN  9780262014335 </ref>, pag 337-340, removendo o ajuste de segundos, minutos e horas.
*Teste cada uma das arquiteturas e anote em uma tabela todos os resultados de: Elementos Lógicos/ALUT (separando em Normais | Aritméticos), Atraso de Propagação, Potencia total, Caminho Crítico.
+
::* Versão 4 Modifique a versão 3, separando claramente a lógica ''combinacional de next-state'', ''combinacional de output'', e ''register''.
:* Os dados acima estão disponíveis nos seguintes relatórios: ('''Fitter > Resource Section > Resource Usage'''), ('''PowerPlay Pawer Analyser Summary > Summary'''), ('''TimeQuest Timing Analyser > Datasheet Report > Propagation Delay''')
 
  
* Formem equipes com '1' ou 2 alunos. Cada deverá trabalhar com um dos temas de 1 a 3 e também todos devem trabalhar os temas 4 e 5.
+
* Para cada circuito indicado torne o código genérico para N bits e teste para 4 e 32 bits.  
:'''TEMA 1''' - Implementar os circuitos somadores-subtratores (Listing 7.1, 7.2 e 7.3), tornando o código genérico para N bits.  Testar com 16 bits de entrada para a e b. (Guilherme e Karolina)
+
* Teste cada uma das arquiteturas e anote em uma tabela todos os resultados de: número de pinos, número de elementos lógicos/ALUT (indicando os Normais | Aritméticos), Frequência Máxima.  
:'''TEMA 2''' - Implementar os circuitos comparadores dual-mode (Listing 7.4, 7.5), tornando o código genérico para N bits.  Testar com 16 bits de entrada para a e b. (Ana e Tiago)
+
::Esses dados estão disponíveis nos seguintes relatórios: ('''Fitter > Resource Section > Resource Usage'''), ('''TimeQuest Timing Analyser > Datasheet Report > FMax''')
:'''TEMA 3''' - Implementar os circuitos comparadores completos (Listing 7.10, 7.11, 7.12), tornando o código genérico para N bits.  Testar com 16 bits de entrada para a e b. (Matias)
+
*Insira restrições temporais para obter um circuito com maior Frequência Máxima.
: '''TEMA 4''' -  Implementar o circuito multiplicador baseado em somas (Listing 7.34, 7.35).
+
create_clock -name CLKXXXMHz -period XXXMHz [get_ports {nome_do_sinal_de_clock}]
: '''TEMA 5''' - Implementar o circuito distancia de Hamming (Listing 7.36, 7.37).
+
*Realize a simulação funcional de cada circuito com 4 bits.
* Escreva um relatório na forma de artigo com 4 a 6 paginas A4. O relatório além das tabelas com os dados, deverá conter as figuras dos circuitos RTL obtidos e uma análise dos resultados obtidos.  Verifique se o hardware obtido corresponde ao esperado.  
+
* Escreva um relatório técnico na forma de artigo com 2 a 4 paginas A4. O relatório além das tabelas com os dados, deverá conter as figuras dos circuitos RTL para 4 bits, simulações funcionais e uma análise textual dos resultados obtidos.  O arquivo com o QAR do projeto deve ser também enviado.  
 
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a plataforma  [http://200.135.233.26:3000/project Sharelatex do IFSC-CLOUD]. Utilize preferencialmente o [http://200.135.233.26:3000/project/54750cb57ae8187440d60acd  modelo de artigo no padrão ABNT].
 
* Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a plataforma  [http://200.135.233.26:3000/project Sharelatex do IFSC-CLOUD]. Utilize preferencialmente o [http://200.135.233.26:3000/project/54750cb57ae8187440d60acd  modelo de artigo no padrão ABNT].
* Envie o artigo em pdf para (<tt>moecke AT ifsc.edu.br</tt> E <tt>arliones.hoeller AT ifsc.edu.br</tt>), com o ASSUNTO: DLP29007 - AE1 - Projeto de Circuitos Combinacionais.
+
* Envie o artigo em pdf para (<tt>moecke AT ifsc.edu.br</tt> E <tt>arliones.hoeller AT ifsc.edu.br</tt>), com o ASSUNTO: DLP29007 - AE3 - Circuitos Sequencias.
 +
{{collapse bottom}}
 +
 
 +
{{collapse top |expand = true| AE4 - FSM/RTM (prazo 18/12/2016)}}
 +
 
 +
Nesta atividade, cada dupla entregará uma implementação do jogo QuickFinger (explicado em aula).
 +
Além da implementação padrão, cada dupla realizará uma modificação diferente (lista ao final, por dupla). A figura abaixo apresenta uma visão geral do sistema do jogo:
 +
 
 +
http://docente.ifsc.edu.br/arliones.hoeller/dlp2/figs/quick_finger-block_diagram.png
 +
 
 +
Um relatório final em forma de artigo PDF contendo os itens abaixo deve ser entregue:
 +
* Introdução descrevendo os objetivos do trabalho e apresentando uma visão geral do jogo;
 +
* Descrição da implementação padrão e da modificação do jogo contendo, para cada um:
 +
** Algoritmo em pseudo-código que resolve o problema;
 +
** Diagrama de máquina de estados com datapath (FSMD ou ASMD - preferível ASMD);
 +
** Diagrama de blocos do sistema;
 +
** RTL do circuito gerado por sua implementação;
 +
** Relatório de eficiência do circuito (Fmax, LE/LUT, registradores, etc);
 +
* Conclusão sugerindo pontos positivos e negativos de sua implementação e relatando possíveis melhorias.
 +
* '''Observações:'''
 +
** Não incluir código-fonte no artigo;
 +
** Não ultrapassar 4 páginas de texto (não incluso figuras);
 +
** Não há limite de páginas para figuras.
 +
* Deve ser entregue também o .qar do projeto.
 +
* Envie o trabalho para (<tt>moecke AT ifsc.edu.br</tt> E <tt>arliones.hoeller AT ifsc.edu.br</tt>), com o ASSUNTO: DLP29007 - AE4.
 +
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
 
;JÁ ENCERRADAS:
 
;JÁ ENCERRADAS:
 +
;ESTUDOS SEM ENTREGA DE DOCUMENTAÇÃO:
 +
{{collapse top  | AL1 - Tempo de propagação em circuitos combinacionais}}
 +
*[[Medição de tempos de propagação em circuitos combinacionais]]
 +
{{collapse bottom}}
  
;ESTUDOS SEM ENTREGA DE DOCUMENTAÇÃO:
 
  
 
== Recursos de Laboratório ==
 
== Recursos de Laboratório ==
 
Para uso fora do IFSC dos recursos computacionais com licença educacional, o IFSC disponibiliza para seus alunos o '''IFSC-CLOUD'''.  Atualmente a forma mais eficiente de acesso é através do Cliente X2GO.  O procedimento de instalação/ configuração e uso do Quartus/Modelsim/QSIM está descrito em [[Acesso ao IFSC-CLOUD#Cliente X2GO (recomendado)]].
 
Para uso fora do IFSC dos recursos computacionais com licença educacional, o IFSC disponibiliza para seus alunos o '''IFSC-CLOUD'''.  Atualmente a forma mais eficiente de acesso é através do Cliente X2GO.  O procedimento de instalação/ configuração e uso do Quartus/Modelsim/QSIM está descrito em [[Acesso ao IFSC-CLOUD#Cliente X2GO (recomendado)]].
  
Para a geração de documentação/relatórios técnicos/artigos, está disponibilizada a plataforma  [http://200.135.233.26:3000/project Sharelatex do IFSC-CLOUD]. Utilize preferencialmente o [http://200.135.233.26:3000/project/54750cb57ae8187440d60acd  modelo de artigo no padrão ABNT].
+
Para a geração de documentação/relatórios técnicos/artigos, use a plataforma  [https://www.sharelatex.com?r=d418c690&rm=d&rs=b Sharelatex]
  
 
Para estudo de FPGAs o Laboratório de Programação dispõe de kits '''Mercúrio IV da Macnica-DHW''' e também '''DE2-115 da Terasic'''.  Veja como utilizar estes kits em '''[[Preparando para gravar o circuito lógico no FPGA]]''', one além de acesso aos manuais dos fabricantes, você tem acesso a uma descrição resumida da pinagem mais utilizada desses kits.
 
Para estudo de FPGAs o Laboratório de Programação dispõe de kits '''Mercúrio IV da Macnica-DHW''' e também '''DE2-115 da Terasic'''.  Veja como utilizar estes kits em '''[[Preparando para gravar o circuito lógico no FPGA]]''', one além de acesso aos manuais dos fabricantes, você tem acesso a uma descrição resumida da pinagem mais utilizada desses kits.
Linha 80: Linha 208:
 
Para determinar os caminhos críticos do projeto (ou os tempos de propagação entre quaisquer nós de um projeto, utilize a [[Análise de Caminho Crítico com Qaurtus II]].
 
Para determinar os caminhos críticos do projeto (ou os tempos de propagação entre quaisquer nós de um projeto, utilize a [[Análise de Caminho Crítico com Qaurtus II]].
  
= Diário de Aulas =
+
==Referências Bibliográficas:==
 +
<references/>
 +
 +
{{ENGTELECO}}
  
{{collapse top| bg=lightyellow | expandir=true | 29/07: Laboratório: Processadores Embarcados (SoC)}}
+
= Conteúdo =
  
Nesta aula nós seguiremos um tutorial da Altera para construir um System-on-a-Chip (SoC), sintetizando um processador softcore NIOS em uma FPGA e carregando um software nele. Nas próximas aulas nós integraremos nossa própria lógica neste processador. Esta arquitetura de sistema deverá ser empregada em todos os trabalhos ao longo do semestre.
+
{{collapse top| bg=lightyellow | expandir=true | Gerenciamento de Complexidade e Visão Geral de Sistemas Digitais Complexos}}
  
A figura abaixo dá uma visão geral do que iremos implementar:
+
==Gerenciamento de Complexidade e Visão Geral de Sistemas Digitais Complexos==
  
https://www.altera.com/content/dam/altera-www/global/en_US/images/support/examples/images/nios2-hw-tutorial.gif
+
*Leituras recomendadas:
 +
**Capítulo 1 do livro do Chu;
 +
**Capítulo 1 do livro do Harris;
 +
**Notas de aula 01.
  
Você precisará destes documentos para desenvolver este tutorial:
+
{{collapse bottom}}
  
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/docs/tt_nios2_hardware_tutorial-with_markings.pdf Tutorial com pontos importantes destacados.]
+
{{collapse top| bg=lightyellow | expandir=true | Síntese de Código VHDL}}
* Código-base para início do tutorial [https://www.altera.com/content/dam/altera-www/global/en_US/others/literature/tt/niosii_hw_dev_tutorial.zip na ALTERA],[http://docente.ifsc.edu.br/moecke/DLP2/niosii_hw_dev_tutorial.zip no IFSC]
 
* [[Pinagem dos dispositivos de entrada e saída do kit MERCURIO IV]]
 
  
Recomenda-se seguir este roteiro:
+
==Síntese de Código VHDL==
* Analisar e debater figura 1-1 na página 1-2;
+
 
* Destacar os requisitos de hardware para o experimento na página 1-3;
+
*Leitura recomendada:
* Analisar e debater o fluxo de desenvolvimento de projeto da figura 1-2 na página 1-4;
+
**Capítulo 6 do livro do Chu;
* Debater as questões de análise de requisitos do sistema na página 1-5;
+
**Notas de aula 02.
* Partir para criação do exemplo na página 1-8;
 
* Seguir tutorial (passos importantes destacados no PDF);
 
  
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 06/10: Laboratório: Processadores Embarcados (SoC)}}
+
{{collapse top| bg=lightyellow | expandir=true | Eficiência de Circuitos Combinacionais}}
  
Retomada da aula de 28/07
+
==Eficiência de Circuitos Combinacionais==
  
Você precisará destes documentos para desenvolver este tutorial:
+
*Leitura recomendada:
 +
**Capítulo 7 do livro do Chu;
 +
**Notas de aula 03.
  
* [http://docente.ifsc.edu.br/arliones.hoeller/dlp2/docs/tt_nios2_hardware_tutorial-with_markings.pdf Tutorial com pontos importantes destacados.]
+
*[[Medição de tempos de propagação em circuitos combinacionais]]
* Código-base para início do tutorial [https://www.altera.com/content/dam/altera-www/global/en_US/others/literature/tt/niosii_hw_dev_tutorial.zip na ALTERA],[http://docente.ifsc.edu.br/arliones.hoeller/dlp2/code/niosii_hw_dev_tutorial.zip no IFSC]
 
* [http://www.macnicadhw.com.br/c/document_library/get_file?uuid=0612db45-0434-4737-a380-ac846cf662b3&groupId=10157 Esquemático da MercurioIV]
 
*[[Preparando para gravar o circuito lógico no FPGA]]
 
Recomenda-se seguir este roteiro:
 
* Analisar e debater figura 1-1 na página 1-2;
 
* Destacar os requisitos de hardware para o experimento na página 1-3;
 
* Analisar e debater o fluxo de desenvolvimento de projeto da figura 1-2 na página 1-4;
 
* Debater as questões de análise de requisitos do sistema na página 1-5;
 
* Partir para criação do exemplo na página 1-8;
 
* Seguir tutorial (passos importantes destacados no PDF);
 
* Usando o Pin Planner ('''Assignment > Pin Planner''') selecione os pinos corretos no kit Mercúrio IV.  Veja a pinagem em [[Preparando para gravar o circuito lógico no FPGA]].
 
* Mude a tensão default dos pinos para 3.3V ('''Assignment > Device > Device and Pin Options > Voltage'''), ['''Default I/O standard = 3.3-V LVTLL'''] [OK] [OK]
 
* Configure os pinos não usados para Tri-State ('''Assignment > Device > Device and Pin Options > Unused Pins'''), ['''Reserve all unused pins  = As input tri-stated'''] e [OK] [OK]
 
 
 
Se o Eclipse SBT do Nios II não inicializar em um sistema Ubuntu 14.04 ou mais recente, você precisa instalar a libGTK2:
 
sudo apt-get install libgtk2.0-0:i386
 
  
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 07/10: Laboratório: Processadores Embarcados (SoC)}}
+
{{collapse top| bg=lightyellow | expandir=true | Eficiência de Circuitos Sequenciais}}
  
Continuação da aula de 06/10.
+
==Eficiência de Circuitos Sequenciais==
  
Modificar o projeto da aula passada para:
+
*Leitura recomendada:
*Ler um conjunto de entradas digitais a partir das chaves da placa;
+
**Capítulos 8 e 9 do livro do Chu;
*Obter estes sinais no software rodando no NIOS através de uma PIO;
+
**Notas de aula 04 e 05.
*Escrever estes sinais para LEDs no softwaer, através de outra PIO.
 
  
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 13/10: Gerenciamento de Complexidade e Visão Geral de Sistemas Digitais Complexos}}
+
{{collapse top| bg=lightyellow | expandir=true | Eficiência de Máquinas de Estado}}
  
*Leituras recomendadas:
+
==Eficiência de Máquinas de Estado==
**Capítulo 1 do livro do Chu;
+
 
**Capítulo 1 do livro do Harris;
+
*Leitura recomendada:
**Lecture notes 01.
+
**Capítulo 10 do livro do Chu;
 +
**Notas de aula 06.
  
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 20/10: Síntese de Código VHDL}}
+
{{collapse top| bg=lightyellow | expandir=true | Register Transfer Methodology}}
 +
 
 +
==Register Transfer Methodology==
  
 
*Leitura recomendada:
 
*Leitura recomendada:
**Capítulo 6 do livro do Chu;
+
**Capítulos 11 e 12 do livro do Chu;
**Lecture notes 02.
+
**Notas de aula 07 e 08.
  
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 21,27/10, 03/11: Eficiência de Circuitos Combinacionais}}
+
{{collapse top| bg=lightyellow | expandir=true | Projeto Hierárquico e Parametrizado}}
{{collapse bottom}}
+
 
 +
==Projeto Hierárquico e Parametrizado==
 +
 
 +
*Leitura recomendada:
 +
**Capítulos 13, 14 e 15 do livro do Chu;
 +
**Notas de aula 09, 10 e 11.
  
{{collapse top| bg=lightyellow | expandir=true | 04,10,17/11: Eficiência de Circuitos Sequenciais}}
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 18,24/11: Eficiência de Máquinas de Estado}}
+
{{collapse top| bg=lightyellow | expandir=true | Clock e Sincronização}}
{{collapse bottom}}
 
  
{{collapse top| bg=lightyellow | expandir=true | 01,02,08/12: Register Transfer Methodology}}
+
==Clock e Sincronização==
{{collapse bottom}}
 
  
{{collapse top| bg=lightyellow | expandir=true | 15/12: Projeto Hierárquico}}
+
*Leitura recomendada:
{{collapse bottom}}
+
**Capítulo 16 do livro do Chu;
 +
**Notas de aula 12.
  
{{collapse top| bg=lightyellow | expandir=true | 16/12: Projeto Parametrizado}}
 
 
{{collapse bottom}}
 
{{collapse bottom}}
  
{{collapse top| bg=lightyellow | expandir=true | 22/12,02/02: Clock e Sincronização}}
+
= Links Auxiliares =
{{collapse bottom}}
+
*[https://cs.wmich.edu/gupta/teaching/cs5260/5260Sp15web/lectureNotes/thm14%20-%20parallel%20prefix%20from%20Ottman.pdf Parallel Prefix Computation]
 +
*[https://www.youtube.com/watch?v=bFmTHLZ3DGs#t=469.057233 Getting Started with the TimeQuest Timing Analyzer] Altera
 +
*[[Modelos de Temporização nos FPGAs]]
 +
::
 +
*[http://academic.csuohio.edu/chu_p/rtl/rtl_hardware.html Materiais auxiliares do livro do Pong Chu]
 +
*[http://academic.csuohio.edu/chu_p/rtl/chu_rtL_book/rtL_src_code.rar Código dos Exemplos do livro do Pong Chu]
 +
*[http://www.alterawiki.com/uploads/e/e6/FittingAlgorithms_and_SeedSweeps.pdf Fitting Algorithms, Seeds, and Variation] - ALTERA
 +
*[http://www.alterawiki.com/uploads/6/61/Tips_for_IncrementalCompilation_LogicLock.pdf Tips for Incremental Compilation and LogicLock] - ALTERA
  
{{collapse top| bg=lightyellow | expandir=true | 03/12...: Projeto Final}}
+
-->
{{collapse bottom}}
 

Edição atual tal como às 13h36min de 8 de junho de 2021

Página da disciplina hospedada no Moodle