Mudanças entre as edições de "Cronograma de atividades (DLP1-EngTel)"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 1: Linha 1:
{{collapse top| bg=lightgreen | expand=true | Semestre 2016-1 - Prof. Marcos Moecke}}
+
{{collapse top| bg=lightgreen | expand=true | Semestre 2016-2 - Prof. Marcos Moecke}}
 +
A SER PREENCHIDO EM BREVE
 +
{{collapse bottom}}
  
 +
{{collapse top|  Semestre 2016-1 - Prof. Marcos Moecke}}
 +
{{Cronograma-top}}
 +
{{Cl|1 |23/3 | 2 | Apresentação da disciplina, UN1 - Introdução aos dispositivos lógicos programáveis  | Lab Programação - Quartus II}}
 +
{{Cl|2 |28/3 | 2 | UN1 - Introdução aos dispositivos lógicos programáveis | Lab Programação - Quartus II}}
 +
{{Cl|3 |30/3 | 2 | UN2 - Introdução ao VHDL e ambiente EDA Quartus | Lab Programação - Quartus II}}
 +
{{Cl|4 |31/3 | 2 | UN2 - Introdução ao VHDL e ambiente EDA Quartus | Lab Programação - Quartus II}}
 +
{{Cl|5 |4/4 | 2 | UN2 - Introdução ao VHDL | Lab Programação - Quartus II}}
 +
{{Cl|6 |6/4 | 2 | UN3 - Tipos de Dados em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|7 |11/4 | 2 | UN3 - Tipos de Dados em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|8 |13/4 | 2 | UN3 - Tipos de Dados em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|9 |14/4 | 2 | UN3 - Tipos de Dados em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|10 |18/4 | 2 | UN3 - Operadores em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|11 |20/4 | 2 | UN3 - Operadores em VHDL, Atributos em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|12 |25/4 | 2 | UN3 - Atributos em VHDL | Lab Programação - Quartus II}}
 +
{{Cl|13 |27/4 | 2 | UN4 - Código Concorrente (WHEN, SELECT) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|14 |28/4 | 2 | UN4 - Código Concorrente (GENERATE) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|15 |2/5 | 2 | UN4 - Código Concorrente (Exemplos, ALU) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|16 |4/5 | 2 | UN4 - Código Concorrente (circuitos aritméticos) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|17 |9/5 | 2 | UN4 - Código Concorrente (Exemplos, Gray) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|18 |11/5 | 2 | UN4 - Código Concorrente (Exercicios) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|19 |12/5 | 2 | UN4 - Código Concorrente (Exercicios) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|20 |16/5 | 2 | UN5 - Código Sequencial (PROCESS, WAIT) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|21 |18/5 | 2 | UN5 - Código Sequencial (LOOP) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|22 |23/5 | 2 | UN5 - Código Sequencial (CASE) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|23 |25/5 | 2 | UN5 - Código Sequencial (Exercicios) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|25 |30/5 | 2 | Avaliação A1 - UN2, UN3, UN4 | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|26 |1/6 | 2 | Correção de A1, UN5 - Código Sequencial (Exercicios) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|27 |6/6 | 2 | UN6 - Projeto a nível de sistema (PACKAGE, COMPONENT) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|28 |8/6 | 2 | UN6 - Projeto a nível de sistema (COMPONENT, Exemplos) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|29 |13/6 | 2 | UN6 - Projeto a nível de sistema (CONFIGURATION) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|30 |15/6 | 2 | UN6 - Projeto a nível de sistema (FUNCTION, PROCEDURE, ASSERT) | Lab Programação - Quartus II, QSIM}}
 +
{{Cl|31 |16/6 | 2 | UN7 - Simulação com Modelsim e QSIM | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|32 |20/6 | 2 | UN7 - Simulação com Modelsim e QSIM (PROCEDURE) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|33 |22/6 | 2 | UN8 - Projeto Final (discussão da proposta de projeto) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|34 |23/6 | 2 | UN8 - Projeto Final (definição das equipes, especificação de cada bloco) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|35 |25/6 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|36 |27/6 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|37 |29/6 | 2 | UN8 - Projeto Final (Definição do bloco TOP ENTITY das equipes, integração com os pares) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|38 |4/7 | 2 | Avaliação A2p1- UN5, UN6 | Lab Programação - Quartus II,  Modelsim, QSIM}}
 +
{{Cl|39 |6/7 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|40 |7/7 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|41 |9/7 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|42 |11/7 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|43 |13/7 | 2 | UN8 - Projeto Final (trabalho das equipes, orientação) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|44 |18/7 | 2 | UN8 - Projeto Final (integração dos pares) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|45 |20/7 | 2 | Avaliação A2p2 - UN5 e UN6 | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|46 |21/7 | 2 | UN8 - Projeto Final (entrega da documentação final) | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|47 |25/7 | 2 | Recuperação R12 - UN2 até UN6 | Lab Programação - Quartus II,  Modelsim}}
 +
{{Cl|48 |27/7 | 2 | UN8 - Projeto Final (apresentação das equipes) | Lab Programação - Quartus II,  Modelsim}}
 +
{{cronograma-botton |94}}
 
{{collapse bottom}}
 
{{collapse bottom}}
  

Edição das 15h56min de 9 de agosto de 2016

Semestre 2016-2 - Prof. Marcos Moecke

A SER PREENCHIDO EM BREVE

Semestre 2016-1 - Prof. Marcos Moecke
Aula Data Horas Conteúdo Recursos
1 23/3 2 Apresentação da disciplina, UN1 - Introdução aos dispositivos lógicos programáveis  Lab Programação - Quartus II
2 28/3 2 UN1 - Introdução aos dispositivos lógicos programáveis Lab Programação - Quartus II
3 30/3 2 UN2 - Introdução ao VHDL e ambiente EDA Quartus Lab Programação - Quartus II
4 31/3 2 UN2 - Introdução ao VHDL e ambiente EDA Quartus Lab Programação - Quartus II
5 4/4 2 UN2 - Introdução ao VHDL Lab Programação - Quartus II
6 6/4 2 UN3 - Tipos de Dados em VHDL Lab Programação - Quartus II
7 11/4 2 UN3 - Tipos de Dados em VHDL Lab Programação - Quartus II
8 13/4 2 UN3 - Tipos de Dados em VHDL Lab Programação - Quartus II
9 14/4 2 UN3 - Tipos de Dados em VHDL Lab Programação - Quartus II
10 18/4 2 UN3 - Operadores em VHDL Lab Programação - Quartus II
11 20/4 2 UN3 - Operadores em VHDL, Atributos em VHDL Lab Programação - Quartus II
12 25/4 2 UN3 - Atributos em VHDL Lab Programação - Quartus II
13 27/4 2 UN4 - Código Concorrente (WHEN, SELECT) Lab Programação - Quartus II, QSIM
14 28/4 2 UN4 - Código Concorrente (GENERATE) Lab Programação - Quartus II, QSIM
15 2/5 2 UN4 - Código Concorrente (Exemplos, ALU) Lab Programação - Quartus II, QSIM
16 4/5 2 UN4 - Código Concorrente (circuitos aritméticos) Lab Programação - Quartus II, QSIM
17 9/5 2 UN4 - Código Concorrente (Exemplos, Gray) Lab Programação - Quartus II, QSIM
18 11/5 2 UN4 - Código Concorrente (Exercicios) Lab Programação - Quartus II, QSIM
19 12/5 2 UN4 - Código Concorrente (Exercicios) Lab Programação - Quartus II, QSIM
20 16/5 2 UN5 - Código Sequencial (PROCESS, WAIT) Lab Programação - Quartus II, QSIM
21 18/5 2 UN5 - Código Sequencial (LOOP) Lab Programação - Quartus II, QSIM
22 23/5 2 UN5 - Código Sequencial (CASE) Lab Programação - Quartus II, QSIM
23 25/5 2 UN5 - Código Sequencial (Exercicios) Lab Programação - Quartus II, QSIM
25 30/5 2 Avaliação A1 - UN2, UN3, UN4 Lab Programação - Quartus II, QSIM
26 1/6 2 Correção de A1, UN5 - Código Sequencial (Exercicios) Lab Programação - Quartus II, QSIM
27 6/6 2 UN6 - Projeto a nível de sistema (PACKAGE, COMPONENT) Lab Programação - Quartus II, QSIM
28 8/6 2 UN6 - Projeto a nível de sistema (COMPONENT, Exemplos) Lab Programação - Quartus II, QSIM
29 13/6 2 UN6 - Projeto a nível de sistema (CONFIGURATION) Lab Programação - Quartus II, QSIM
30 15/6 2 UN6 - Projeto a nível de sistema (FUNCTION, PROCEDURE, ASSERT) Lab Programação - Quartus II, QSIM
31 16/6 2 UN7 - Simulação com Modelsim e QSIM Lab Programação - Quartus II,  Modelsim
32 20/6 2 UN7 - Simulação com Modelsim e QSIM (PROCEDURE) Lab Programação - Quartus II,  Modelsim
33 22/6 2 UN8 - Projeto Final (discussão da proposta de projeto) Lab Programação - Quartus II,  Modelsim
34 23/6 2 UN8 - Projeto Final (definição das equipes, especificação de cada bloco) Lab Programação - Quartus II,  Modelsim
35 25/6 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
36 27/6 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
37 29/6 2 UN8 - Projeto Final (Definição do bloco TOP ENTITY das equipes, integração com os pares) Lab Programação - Quartus II,  Modelsim
38 4/7 2 Avaliação A2p1- UN5, UN6 Lab Programação - Quartus II,  Modelsim, QSIM
39 6/7 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
40 7/7 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
41 9/7 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
42 11/7 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
43 13/7 2 UN8 - Projeto Final (trabalho das equipes, orientação) Lab Programação - Quartus II,  Modelsim
44 18/7 2 UN8 - Projeto Final (integração dos pares) Lab Programação - Quartus II,  Modelsim
45 20/7 2 Avaliação A2p2 - UN5 e UN6 Lab Programação - Quartus II,  Modelsim
46 21/7 2 UN8 - Projeto Final (entrega da documentação final) Lab Programação - Quartus II,  Modelsim
47 25/7 2 Recuperação R12 - UN2 até UN6 Lab Programação - Quartus II,  Modelsim
48 27/7 2 UN8 - Projeto Final (apresentação das equipes) Lab Programação - Quartus II,  Modelsim
TOTAL 94
Semestre 2015-2 - Prof. Marcos Moecke
Aula Data Hr_Aulas Conteúdo Recursos
1 29/7 2 Aula inaugural, apresentação da disciplina Sala de Aula, Projetor multimídia
2 2/10 2 Unid 1 – Dispositivos lógicos programáveis Sala de Aula, Projetor multimídia
3 7/10 2,3 Unid 1 – Dispositivos lógicos programáveis, uso do Quartus para identificar os dispositivos e implementação física de circuitos em DLP. Sala de Aula, Projetor multimídia
4 9/10 2,3 Unid 2 – Introdução / motivação da linguagem DHL (VHDL) Laboratório de Programação
5 13/10 2 Unid 2 – Estrutura do código LIBRARY, ENTITY , ARCHITECTURE Sala de Aula, Projetor multimídia
6 14/10 2,3 Unid 2 – Exemplos em VHDL: Somador, DFF Laboratório de Programação, QUARTUS
7 16/10 2,3 Unid 2 – Exemplos em VHDL: Comparador/Somador, Decodificador de endereço genérico Laboratório de Programação, QUARTUS
8 21/10 2,3 Unid 3 – Tipos de dados: Objetos Laboratório de Programação, QUARTUS
9 23/10 2,3 Unid 3 – Libraries e Packages Laboratório de Programação, QUARTUS
10 28/10 2,3 Unid 3 – Classificação dos tipos, e Tipos Standard Laboratório de Programação, QUARTUS
11 3/11 2 Unid 3 – Tipo Standard Logic. Exemplos: Buffer tri-state Laboratório de Programação, QUARTUS
12 4/11 2,3 Unid 3 – Tipo Unsigned e Signed. Exemplos: Multiplicador, Tipo Ponto Fixo e Ponto Flutuante Laboratório de Programação, QUARTUS
13 6/11 2,3 Unid 3 – Tipos definidos pelo usuário: escalares e arrays. Records, Subtypes Laboratório de Programação, QUARTUS
14 11/11 2,3 Unid 3 – Conversão entre tipos, tipos qualificados, overloading Laboratório de Programação, QUARTUS
15 13/11 2,3 Unid 3 – Operadores predefinidos, atribuições, logicos, aritméticos, shift, concatenação. Overloading de operadores. Laboratório de Programação, QUARTUS
16 17/11 2 Unid 3 – Atributos de escalares e arrays, signals. Atributos definidos pelo usuário Laboratório de Programação, QUARTUS
17 18/11 2,3 Unid 3 – Atributos de sintese: enum_encoding, keep, preserve, noprune. Grupos, Alias Laboratório de Programação, QUARTUS
18 20/11 2,3 Avaliação 1 – Unidades 1 2 e 3 Laboratório de Programação, QUARTUS
19 25/11 2,3 Unid 4 – Código Concorrente. Exemplo: Multiplexador Laboratório de Programação, QUARTUS
20 27/11 2,3 Unid 4 – When Else, Select When, Exemplo ALU. Simulador QSIM Laboratório de Programação, QUARTUS
21 1/12 2 Unid 4 – Generate. Exemplo decodificador de endereço Laboratório de Programação, QUARTUS
22 2/12 2,3 Unid 4 – Circuitos aritméticos. Exemplo: Somador/subtrator. Laboratório de Programação, QUARTUS
23 4/12 2,3 Unid 4 – Código sequencial. Process, If. Exemplos: DFF com reset e clear, Contador Laboratório de Programação, QUARTUS
24 9/12 2,3 Unid 4 – Exemplo: Registrador de deslocamento. Wait Laboratório de Programação, QUARTUS
25 10/12 2,3 Unid 4 – Código sequencial. Process, If. Exemplos: DFF com reset e clear, Contador Laboratório de Programação, QUARTUS
26 16/12 2,3 Unid 4 - Loop. Exemplo: Somador, Leading Zeros Laboratório de Programação, QUARTUS
27 18/12 2,3 Unid 4 – Case. Conversor 7 segmentos Laboratório de Programação, QUARTUS
28 22/12 2 Unid 4 – Signal e Variable: Usos, diferenças Laboratório de Programação, QUARTUS
29 23/12 2,3 Unid 4 – Inferência de registradores Laboratório de Programação, QUARTUS
30 3/2 2,3 Unid 5 – Assert, Function e Procedure Laboratório de Programação, QUARTUS
31 5/2 2,3 Unid 5 – Component: Mapping, Instanciation Laboratório de Programação, QUARTUS
32 16/2 2 Avaliação 2 – Unidade 4 Laboratório de Programação, QUARTUS
33 17/2 2,3 Unid 5 – Package Laboratório de Programação, QUARTUS
34 19/2 2,3 Unid 5 – Exemplo de projeto hierárquico: Laboratório de Programação, QUARTUS
35 24/2 2,3 Unid 6 – Tipos de simulação Laboratório de Programação, QUARTUS
36 26/2 2,3 Unid 6 – Simulação funcional com ModelSim Laboratório de Programação, QUARTUS
37 1/3 2 Unid 6 – Outros tipos de simulação Laboratório de Programação, QUARTUS
38 2/3 2,3 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação, QUARTUS e MODELSIM
39 4/3 2,3 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação, QUARTUS e MODELSIM
40 9/3 2,3 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação, QUARTUS e MODELSIM
41 11/3 2 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação, QUARTUS e MODELSIM
42 12/3 3,3 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação, QUARTUS e MODELSIM
43 15/3 2,5 Recuperação da Avaliação A1 e A2 Laboratório de Programação, QUARTUS e MODELSIM
44 16/3 2,3 Unid 8 - Apresentação do Projeto, Simulação e Implementação de um sistema Laboratório de Programação, QUARTUS e MODELSIM
TOTAL 98
Semestre 2015-1 - Prof. Marcos Moecke
Aula Data Hr_Aulas Conteúdo Recursos
1 5/2 2 Aula inaugural, apresentação da disciplina Sala de Aula, Projetor multimídia
2 6/2 2 Unid 1 – Dispositivos lógicos programáveis Sala de Aula, Projetor multimídia
3 11/2 2 Unid 1 – Dispositivos lógicos programáveis Sala de Aula, Projetor multimídia
4 12/2 2 Unid 1 – Dispositivos lógicos programáveis, uso do Quartus para identificar os dispositivos e implementação física de circuitos em DLP. Laboratório de Programação
5 13/2 2 Unid 2 – Introdução / motivação da linguagem DHL (VHDL) Sala de Aula, Projetor multimídia
6 19/2 2 Unid 2 – Estrutura do código LIBRARY, ENTITY , ARCHITECTURE Laboratório de Programação
7 20/2 2 Unid 2 – Exemplos em VHDL: Somador, DFF Laboratório de Programação
8 25/2 2 Unid 2 – Exemplos em VHDL: Comparador/Somador, Decodificador de endereço genérico Laboratório de Programação
9 26/2 2 Unid 3 – Tipos de dados: Objetos Laboratório de Programação
10 27/2 2 Unid 3 – Libraries e Packages Laboratório de Programação
11 5/3 2 Unid 3 – Classificação dos tipos, e Tipos Standard Laboratório de Programação
12 6/3 2 Unid 3 – Classificação dos tipos, e Tipos Standard Laboratório de Programação
13 11/3 2 Unid 3 – Tipo Standard Logic. Exemplos: Buffer tri-state Laboratório de Programação
14 12/3 2 Unid 3 – Tipo Unsigned e Signed. Exemplos: Multiplicador, Tipo Ponto Fixo e Ponto Flutuante Laboratório de Programação
15 13/3 2 Unid 3 – Tipos definidos pelo usuário: escalares e arrays. Records, Subtypes Laboratório de Programação
16 20/3 2 Unid 3 – Conversão entre tipos, tipos qualificados, overloading Laboratório de Programação
17 25/3 2 Unid 3 – Operadores predefinidos, atribuições, logicos, aritméticos, shift, concatenação. Overloading de operadores. Laboratório de Programação
18 26/3 2 Unid 3 – Atributos de escalares e arrays, signals. Atributos definidos pelo usuário Laboratório de Programação
19 27/3 2 Unid 3 – Atributos de sintese: enum_encoding, keep, preserve, noprune. Grupos, Alias Laboratório de Programação
20 8/4 2 Avaliação 1 – Unidades 1 2 e 3 Laboratório de Programação
21 9/4 2 Unid 4 – Código Concorrente. Exemplo: Multiplexador Laboratório de Programação
22 10/4 2 Unid 4 – When Else, Select When, Exemplo ALU. Simulador QSIM Laboratório de Programação
23 16/4 2 Unid 4 – Generate. Exemplo decodificador de endereço Laboratório de Programação
24 17/4 2 Unid 4 – Circuitos aritméticos. Exemplo: Somador/subtrator. Laboratório de Programação
25 22/4 2 Unid 4 – Código sequencial. Process, If. Exemplos: DFF com reset e clear, Contador Laboratório de Programação
26 23/4 2 Unid 4 – Exemplo: Registrador de deslocamento. Wait Laboratório de Programação
27 24/4 2 Unid 4 – Código sequencial. Process, If. Exemplos: DFF com reset e clear, Contador Laboratório de Programação
28 30/4 2 Unid 4 - Loop. Exemplo: Somador, Leading Zeros Laboratório de Programação
29 6/5 2 Unid 4 – Case. Conversor 7 segmentos Laboratório de Programação
30 7/5 2 Unid 4 – Signal e Variable: Usos, diferenças Laboratório de Programação
31 8/5 2 Unid 4 – Inferência de registradores Laboratório de Programação
32 14/5 2 Avaliação 2 – Unidade 4 Laboratório de Programação
33 15/5 2 Unid 5 – Assert, Function e Procedure Laboratório de Programação
34 20/5 2 Unid 5 – Component: Mapping, Instanciation Laboratório de Programação
35 21/5 2 Unid 5 – Package Laboratório de Programação
36 22/5 2 Unid 5 – Exemplo de projeto hierárquico: Relógio HH:MM:SS Laboratório de Programação
37 28/5 2 Unid 6 – Tipos de simulação Laboratório de Programação
38 29/5 2 Unid 6 – Simulação funcional com ModelSim Laboratório de Programação
39 3/6 2 Unid 6 – Simulação temporal com ModelSim Laboratório de Programação
40 11/6 2 Unid 6 – Outros tipos de simulação Laboratório de Programação
41 12/6 2 Unid 7 – Maquina de estado finita (FSM). Tipos Laboratório de Programação
42 17/6 2 Unid 7 – Maquina de estado finita (FSM). Exemplo: Maquina de vendas automática. Laboratório de Programação
43 18/6 2 Unid 7 – Maquina de estado finita (FSM). Problema do bypass de estados (Flag e estados de Wait). Exemplo: Alarme de carro Laboratório de Programação
44 19/6 2 Unid 7 –Maquina de estado finita (FSM). Maquina temporizada. Exemplo: Semáforo Laboratório de Programação
45 25/6 2 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação
46 26/6 2 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação
47 1/7 2 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação
48 2/7 2 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação
49 3/7 2 Unid 8 - Projeto, Simulação e Implementação de um sistema Laboratório de Programação
TOTAL 98
Semestre 2014-2 - Prof. Marcos Moecke
Aula Data Hr_Aulas Conteúdo Recursos
1 31/7 2 Aula inaugural, apresentação da disciplina Sala de Aula, Projetor multimídia
2 5/8 2 Cap1 – Dispositivos lógicos programáveis Sala de Aula, Projetor multimídia
3 6/8 2 Cap1 – Dispositivos lógicos programáveis Sala de Aula, Projetor multimídia
4 7/8 2 Cap1 – Dispositivos lógicos programáveis, uso do Quartus para identificar os dispositivos e implementação física de circuitos em DLP. Laboratório de Programação
5 12/8 2 Cap1 – Introdução / motivação da linguagem DHL (VHDL) Sala de Aula, Projetor multimídia
6 14/8 2 Cap2 – Estrutura do código LIBRARY, ENTITY , ARCHITECTURE Laboratório de Programação
7 19/8 2 Cap2 – Exemplos em VHDL: Somador, DFF Laboratório de Programação
8 20/8 2 Cap2 – Exemplos em VHDL: Comparador/Somador, Decodificador de endereço genérico Laboratório de Programação
9 21/8 2 Cap3 – Tipos de dados: Objetos Laboratório de Programação
10 26/8 2 Cap3 – Libraries e Packages Laboratório de Programação
11 28/8 2 Cap3 – Classificação dos tipos, e Tipos Standard Laboratório de Programação
12 2/9 2 Cap3 – Classificação dos tipos, e Tipos Standard Laboratório de Programação
13 3/9 2 Cap3 – Tipo Standard Logic. Exemplos: Buffer tri-state Laboratório de Programação
14 4/9 2 Cap3 – Tipo Unsigned e Signed. Exemplos: Multiplicador, Tipo Ponto Fixo e Ponto Flutuante Laboratório de Programação
15 9/9 2 Cap3 – Tipos definidos pelo usuário: escalares e arrays. Records, Subtypes Laboratório de Programação
16 11/9 2 Cap3 – Conversão entre tipos, tipos qualificados, overloading Laboratório de Programação
17 16/9 2 Cap4 – Operadores predefinidos, atribuições, logicos, aritméticos, shift, concatenação. Overloading de operadores. Laboratório de Programação
18 17/9 2 Cap4 – Atributos de escalares e arrays, signals. Atributos definidos pelo usuário Laboratório de Programação
19 18/9 2 Cap4 – Atributos de sintese: enum_encoding, keep, preserve, noprune. Grupos, Alias Laboratório de Programação
20 23/9 2 Avaliação 1 – Cap1, 2, 3, e 4 Laboratório de Programação
21 25/9 2 Cap5 – Código Concorrente. Exemplo: Multiplexador Laboratório de Programação
22 30/9 2 Cap5 – When Else, Select When, Exemplo ALU. Simulador QSIM Laboratório de Programação
23 1/10 2 Cap5 – Generate. Exemplo decodificador de endereço Laboratório de Programação
24 2/10 2 Cap5 – Circuitos aritméticos. Exemplo: Somador/subtrator. Laboratório de Programação
25 7/10 2 Cap6 – Código sequencial. Process, If. Exemplos: DFF com reset e clear, Contador Laboratório de Programação
26 9/10 2 Cap6 – Exemplo: Registrador de deslocamento. Wait Laboratório de Programação
27 14/10 2 Cap6 – Código sequencial. Process, If. Exemplos: DFF com reset e clear, Contador Laboratório de Programação
28 15/10 2 Cap6 - Loop. Exemplo: Somador, Leading Zeros Laboratório de Programação
29 16/10 2 Cap6 – Case. Conversor 7 segmentos Laboratório de Programação
30 21/10 2 Cap7 – Signal e Variable: Usos, diferenças Laboratório de Programação
31 23/10 2 Cap7 – Inferência de registradores Laboratório de Programação
32 28/10 2 Avaliação 2 – Cap 5, 6 e 7. Laboratório de Programação
33 29/10 2 Cap9 – Assert, Function e Procedure Laboratório de Programação
34 30/10 2 Cap8 – Package e Component: Mapping, Instanciation Laboratório de Programação
35 4/11 2 Cap8 – Exemplo de projeto hierárquico: Relógio HH:MM:SS Laboratório de Programação
36 6/11 2 Cap10 – Simulação funcional com ModelSim Laboratório de Programação
37 11/11 2 Cap10 – Simulação temporal com ModelSim Laboratório de Programação
38 12/11 2 Cap10 – Tipos de simulação Laboratório de Programação
39 13/11 2 Cap11 – Maquina de estado finita (FSM). Exemplo: Maquina de vendas automática. Laboratório de Programação
40 18/11 2 Cap11 – Maquina de estado finita (FSM). Problema do bypass de estados (Flag e estados de Wait). Exemplo: Alarme de carro Laboratório de Programação
41 20/11 2 Cap11 – Maquina de estado finita (FSM). Maquina temporizada. Exemplo: Semáforo Laboratório de Programação
42 25/11 2 Projeto, Simulação e Implementação de um relógio HH:MM:SS com sistema de ajuste por FSM Laboratório de Programação
43 26/11 2 Projeto, Simulação e Implementação de um relógio HH:MM:SS com sistema de ajuste por FSM Laboratório de Programação
44 27/11 2 Projeto, Simulação e Implementação de um relógio HH:MM:SS com sistema de ajuste por FSM Laboratório de Programação
45 2/12 2 Projeto, Simulação e Implementação de um relógio HH:MM:SS com sistema de ajuste por FSM Laboratório de Programação
TOTAL 90