Mudanças entre as edições de "Configuração e uso do Signal Tap"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
Linha 5: Linha 5:
  
 
==Resumo das dicas para uso==
 
==Resumo das dicas para uso==
 +
 +
*1. Open the SignalTap II window by selecting '''[File > New]''', which gives the window shown in Figure 3. Choose '''SignalTap II Logic Analyzer File''' and click '''[OK]'''.
 +
*2. Save the file under the name '''"filename.stp"'''. Click OK. For the dialog "Do you want to enable SignalTap II file "filename.stp" for the current project?" click Yes. The file "filename.stp" is now the SignalTap file associated with the project.
 +
*3....

Edição das 19h43min de 11 de dezembro de 2015

O software Quartus® II possui uma ferramenta de depuração a nível de sistema chamado SignalTap II que pode capturar e mostrar os sinais em qualquer FPGA em tempo real.

Resumo das dicas para uso

  • 1. Open the SignalTap II window by selecting [File > New], which gives the window shown in Figure 3. Choose SignalTap II Logic Analyzer File and click [OK].
  • 2. Save the file under the name "filename.stp". Click OK. For the dialog "Do you want to enable SignalTap II file "filename.stp" for the current project?" click Yes. The file "filename.stp" is now the SignalTap file associated with the project.
  • 3....