Mudanças entre as edições de "CIL29003-2018-1"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
(Criou página com '=Dados Importantes= ''Professor'': [Heron Eduardo de Lima Ávila] <br>''Email'': heron.avila@ifsc.edu.br <br>''Atendimento paralelo'': quartas das 14:30 às 15:30 e sextas das 0...')
 
 
(28 revisões intermediárias por 3 usuários não estão sendo mostradas)
Linha 1: Linha 1:
 
=Dados Importantes=
 
=Dados Importantes=
''Professor'': [Heron Eduardo de Lima Ávila]
+
''Professor'': [Heron Eduardo de Lima Ávila] [Odilson Tadeu Valle]
<br>''Email'': heron.avila@ifsc.edu.br
+
<br>''Email'': heron.avila@ifsc.edu.br odilson@ifsc.edu.br
<br>''Atendimento paralelo'':  quartas das 14:30 às 15:30 e sextas das 09:00 às 10:00 na Sala de Professores de Telecomunicações II
+
<br>''Atendimento paralelo'':  segundas das 13:30 às 14:25 e quartas das 10:35 às 11:30. Sala de Professores de Telecomunicações I
  
 
* Avaliações
 
* Avaliações
Linha 12: Linha 12:
  
 
'''IMPORTANTE:''' o direito de recuperar uma avaliação em que se faltou somente existe mediante justificativa reconhecida pela coordenação. Assim, deve-se protocolar a justificativa no prazo de 48 horas, contando da data e horário da avaliação e aguardar o parecer da coordenação.
 
'''IMPORTANTE:''' o direito de recuperar uma avaliação em que se faltou somente existe mediante justificativa reconhecida pela coordenação. Assim, deve-se protocolar a justificativa no prazo de 48 horas, contando da data e horário da avaliação e aguardar o parecer da coordenação.
 +
 +
[http://www.ecs.umass.edu/ece/koren/arith/simulator/Booth/ Booth's Algorithm]
  
 
==[[CIL-EngTel_(p%C3%A1gina) | Página principal da disciplina]]==
 
==[[CIL-EngTel_(p%C3%A1gina) | Página principal da disciplina]]==
Linha 17: Linha 19:
 
==[[CIL-EngTel_(Plano_de_Ensino)|Plano de Ensino]]==
 
==[[CIL-EngTel_(Plano_de_Ensino)|Plano de Ensino]]==
 
[[Cronograma_de_atividades_(CIL-EngTel)]]
 
[[Cronograma_de_atividades_(CIL-EngTel)]]
 
==IFSC-Cloud==
 
 
O IFSC-Cloud permite que qualquer usuário cadastrado acesse vários programas oficiais do ISFC remotamente, entre outros o Quartus que será utilizado intensivamente nesta disciplina. Permite inclusive que o usuário deixe processos/simulações longas rodando em background e verificar os resultados em um próximo acesso.
 
 
Para os alunos ainda não cadastrados na IFSC-Cloud, peça para o professor fazer seu cadastro. Após o cadastro siga exatamente TODOS os passos de [[Acesso_ao_IFSC-CLOUD]]. Caso tenha algum problema com a senha, entre em contato com o professor
 
 
==Proposta de projeto final e equipes==
 
*[[Projetos_Finais_CIL29003]]
 
  
 
=Diário de aulas=
 
=Diário de aulas=
  
Aula 1 -31/07/17: Apresentação da disciplina, plano de aula, trabalhos e métodos de avaliação.
+
Aula 1 -15/02/18: Apresentação da disciplina, plano de aula, trabalhos e métodos de avaliação.
 
# Auto apresentação
 
# Auto apresentação
 
# [http://wiki.sj.ifsc.edu.br Apresentação da Wiki]
 
# [http://wiki.sj.ifsc.edu.br Apresentação da Wiki]
 
# [[CIL-EngTel_(Plano_de_Ensino)|Plano de Ensino, Ementa, Bibliografia e Estratégia de Ensino]]
 
# [[CIL-EngTel_(Plano_de_Ensino)|Plano de Ensino, Ementa, Bibliografia e Estratégia de Ensino]]
 
# Avaliações, verificar acima.
 
# Avaliações, verificar acima.
 +
##3 conjuntos de avaliações (A1, A2 e A3) mais um projeto final (PF).
 +
##1 conjunto de avaliações é igual a um conjunto de aproximadamente 5 testes com duração entre 20 a 30 min, de acordo com a necessidade e definido pelo professor.
 +
##Esses testes ocorrerão sempre ao final das aulas das quintas-feiras.
 +
##Cada teste terá uma nota variando de 0 a 10.
 +
##A menor nota de cada conjunto será automaticamente desprezada e a média das demais notas gerará o conceito do respectivo conjunto de avaliações.
 +
##Conceito mínimo para não necessitar reavaliação: 5, desde que a "média" seja igual ou superior a 6.
 +
##Um ou mais conceitos <= 4 implica na realização da reavaliação: as 3 reavaliações ocorrerão em data única no último dia de aula.
 +
##Conceito final: "média" das 3 avaliações (75%) e PF (25%).
 
# [[Cronograma_de_atividades_(CIL-EngTel) | Cronograma de atividades]]
 
# [[Cronograma_de_atividades_(CIL-EngTel) | Cronograma de atividades]]
# [[Engenharia de Telecomunicações (páginas das disciplinas)|Relação com outras disciplinas do curso]]
 
 
# O que são e onde estão os circuitos lógicos/digitais? [https://www.google.com.br/search?q=circuitos+digitais&espv=2&biw=1920&bih=914&tbm=isch&tbo=u&source=univ&sa=X&ved=0ahUKEwjOnJ_KtrfOAhUHDZAKHQ43CCwQsAQINA Imagens]
 
# O que são e onde estão os circuitos lógicos/digitais? [https://www.google.com.br/search?q=circuitos+digitais&espv=2&biw=1920&bih=914&tbm=isch&tbo=u&source=univ&sa=X&ved=0ahUKEwjOnJ_KtrfOAhUHDZAKHQ43CCwQsAQINA Imagens]
 
# Qual sua relação com '''Telecomunicações'''?
 
# Qual sua relação com '''Telecomunicações'''?
 
# Conceitos iniciais ('''Seção 1.2 à 1.8 do Pedroni'''):
 
# Conceitos iniciais ('''Seção 1.2 à 1.8 do Pedroni'''):
## [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_1_Introducao.pdf Introdução a Circuito Lógicos]
+
[http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_1_Introducao.pdf Introdução a Circuito Lógicos]
 +
 
 +
Aula 2 -22/02/18: Início do conteúdo sobre representações binárias.
 +
[http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_2_Representacoes_Binarias.pdf Representações Binárias]
 +
 
 +
Aula 3 -26/02/18: Continuação do conteúdo sobre representações binárias e aplicação do Teste 1.
  
Aula 2 - 01/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_1_Introducao.pdf Introdução a Circuito Lógicos]
+
Aula 4 -01/03/18: Início do conteúdo sobre aritmética binária.
 +
[http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_3_Aritmetica_Binaria.pdf Aritmética Binária]
  
Aula 3 - 07/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_1_Introducao.pdf Introdução a Circuito Lógicos]
+
Aula 5 -05/03/18: Continuação do conteúdo sobre aritmética binária e aplicação do Teste 2.
  
Aula 4 - 08/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_2_Representacoes_Binarias.pdf Representações Binárias]
+
Aula 6 -08/03/18: Conclusão do conteúdo sobre aritmética binária com resolução de exercícios.
  
Aula 5 - 14/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_2_Representacoes_Binarias.pdf Representações Binárias]
+
Aula 7 -12/03/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos Circuitos Digitais]
  
Aula 6 - 15/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_2_Representacoes_Binarias.pdf Representações Binárias] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_3_Aritmetica_Binaria.pdf Aritmética Binária]
+
Aula 8 -15/03/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos Circuitos Digitais]
  
Aula 7 - 21/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_3_Aritmetica_Binaria.pdf Aritmética Binária]
+
Aula 9 -19/03/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos Circuitos Digitais]
  
Aula 8 - 22/08/17: {{Collapse top |Laboratório 1 - Uso do Quartus}}
+
Aula 10 -22/03/18: Aulas suspensas - Reunião POCV-B
 +
 
 +
Aula 11 -26/03/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos Circuitos Digitais]
 +
 
 +
Aula 12 -29/03/18: {{Collapse top |Laboratório 1 - Uso do Quartus}}
 
#Leia e execute os procedimentos sugeridos no tutorial [http://docente.ifsc.edu.br/odilson/CIL29003/Quartus_II_Introduction_Destacado.pdf Quartus II Introduction - Using Schematic Designs]. Dê especial atenção aos pontos destacados do texto.
 
#Leia e execute os procedimentos sugeridos no tutorial [http://docente.ifsc.edu.br/odilson/CIL29003/Quartus_II_Introduction_Destacado.pdf Quartus II Introduction - Using Schematic Designs]. Dê especial atenção aos pontos destacados do texto.
 
#Utilize o '''Quartus versão 13''' de sua máquina local. Faça as seguintes adaptações no tutorial, que também estão anotadas no próprio texto:
 
#Utilize o '''Quartus versão 13''' de sua máquina local. Faça as seguintes adaptações no tutorial, que também estão anotadas no próprio texto:
Linha 101: Linha 111:
 
#Em ambos os casos e somente no primeiro uso:
 
#Em ambos os casos e somente no primeiro uso:
 
##Ajuste o atalho para o navegador, para poder ler os manuais quando necessário, por meio de '''Tools > Options > Internet Connectivity''', no campo '''Web browser''' preencha: '''/usr/bin/firefox'''.
 
##Ajuste o atalho para o navegador, para poder ler os manuais quando necessário, por meio de '''Tools > Options > Internet Connectivity''', no campo '''Web browser''' preencha: '''/usr/bin/firefox'''.
##Para indicar a licença, selecione '''Tools>Options>License Setup''', e indique o local onde está a licença do software License File:
+
##Verifique a [[Licença Quartus]]
##* se estiver usando a rede do IFSC: ''1800@vm-lan2''
 
##* Se estiver usando a IFSC-CLOUD: 1800@vm-lan2.sj.ifsc.edu.br
 
##* ou opte pela avaliação de 30 dias
 
 
#Outra opção é utilizar a versão ''free'' do Quartus, que apresenta praticamente todas as funcionalidades da versão comercial, com certeza suficiente para o desenvolvimento de nossos projetos.
 
#Outra opção é utilizar a versão ''free'' do Quartus, que apresenta praticamente todas as funcionalidades da versão comercial, com certeza suficiente para o desenvolvimento de nossos projetos.
 
{{Collapse bottom}}
 
{{Collapse bottom}}
Aula 9 - 28/08/17:  [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_3_Aritmetica_Binaria.pdf Aritmética Binária] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos circuitos digitais]
 
 
Aula 10 - 29/08/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos circuitos digitais]
 
 
Aula 11 - 04/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos circuitos digitais]
 
 
Aula 12 - 05/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos circuitos digitais]
 
 
Aula 13 - 11/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana]
 
 
Aula 14 - 12/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana]
 
 
Aula 15 - 18/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana]
 
  
Aula 16 - 19/09/17: [[Experimento_5_para_Circuitos_Lógicos | Laboratório 2 - Projeto e implementação de conversor BCD - SSD]]
+
Aula 13 -02/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos Circuitos Digitais]
  
Aula 17 - 25/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_10_Familias_Logicas.pdf Famílias Lógicas]
+
Aula 14 -05/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_4_Introducao_Circuitos_Digitais.pdf Introdução aos Circuitos Digitais] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana]
  
Aula 18 - 26/09/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_11_Circuitos_combinacionais_Logicos.pdf Circuitos Combinacionais Lógicos]
+
Aula 15 -09/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana]
  
Aula 19 - 02/10/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos]
+
Aula 16 -12/04/18: [[Experimento_5_para_Circuitos_Lógicos | Laboratório 2 - Projeto e implementação de conversor BCD - SSD]]
  
Aula 20 - 03/10/17: [[Circuitos_Lógicos_Aritméticos | Laboratório 3 - Somador de 4 bits, até o item 3.3 - Análise dos resultados]] - no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)
+
Aula 17 -16/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana]
  
Aula 21 - 09/10/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos]
+
Aula 18 -19/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_5_Algebra_Booleana.pdf Álgebra Booleana] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_10_Familias_Logicas.pdf Famílias Lógicas]
  
Aula 22 - 10/10/17: [[Circuitos_Lógicos_Aritméticos#Multiplicador_de_4_bits | Laboratório 4 - Multiplicador de 4 bits]] - no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)
+
Aula 19 -23/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_10_Familias_Logicas.pdf Famílias Lógicas] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_11_Circuitos_combinacionais_Logicos.pdf Circuitos Combinacionais Lógicos]
  
Aula 23 - 16/10/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 20 -26/04/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_11_Circuitos_combinacionais_Logicos.pdf Circuitos Combinacionais Lógicos]
  
Aula 24 - 17/10/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 21 -03/05/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos]
  
Aula 25 - 23/10/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 22 -07/05/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos]
  
Aula 26 - 24/10/17: Aulas suspensas devido a Semana Nacional de Ciência e Tecnologia -- SNCT
+
Aula 23 - 10/05/17: [[Circuitos_Lógicos_Aritméticos | Laboratório 3 - Somador de 4 bits, até o item 3.3 - Análise dos resultados]] - no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)
  
Aula 27 - 30/10/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 24 -14/05/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_13_Registradores.pdf Registradores]
  
Aula 28 - 31/10/17: Aulas suspensas devido a Palestra da TV Digital
+
Aula 25 -17/05/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_13_Registradores.pdf Registradores] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
  
Aula 29 - 06/11/17: Laboratório 5 – [[Contador_binário_síncrono | Contador binário síncrono]]
+
Aula 26 -21/05/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
  
Aula 30 - 07/11/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 27 -24/05/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
  
Aula 31 - 13/11/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 28 -28/05/18: Aulas suspensas - Paralisação Camioneiros
  
Aula 32 - 14/11/17: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
+
Aula 29 -04/06/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
  
Aula 33 - 20/11/17: Teste - Projeto final em equipes
+
Aula 30 -07/06/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
  
Aula 34 - 21/11/17: Projeto final em equipes
+
Aula 31 -11/06/18: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais]
  
Aula 35 - 27/11/17: Projeto final em equipes
+
Aula 32 -14/06/18: Laboratório 4 – [[Contador_binário_síncrono | Contador binário síncrono]]
  
Aula 36 - 28/11/17: Projeto final em equipes
+
Aula 33 -18/06/18: [[Projetos_Finais_CIL29003 | Projeto final]]
  
Aula 37 - 04/12/17: Projeto final em equipes
+
Aula 34 -21/06/18: [[Projetos_Finais_CIL29003 | Projeto final]]
  
Aula 38 - 05/12/17: Projeto final em equipes
+
Aula 35 -25/06/18: [[Projetos_Finais_CIL29003 | Projeto final]]
  
Aula 39 - 11/12/17: Projeto final em equipes
+
Aula 36 -28/06/18: [[Projetos_Finais_CIL29003 | Projeto final]]
  
Aula 40 - 12/12/17: Projeto final em equipes
+
Aula 37 -02/07/18: [[Projetos_Finais_CIL29003 | Projeto final]]
  
Aula 41 - 18/12/17: Reavaliação final
+
Aula 38 -05/07/18: Reavaliação Final

Edição atual tal como às 09h36min de 23 de fevereiro de 2021

Dados Importantes

Professor: [Heron Eduardo de Lima Ávila] [Odilson Tadeu Valle]
Email: heron.avila@ifsc.edu.br odilson@ifsc.edu.br
Atendimento paralelo: segundas das 13:30 às 14:25 e quartas das 10:35 às 11:30. Sala de Professores de Telecomunicações I

  • Avaliações
    • 3 conjuntos de avaliações (A1, A2 e A3) mais um projeto final (PF).
    • 1 conjunto de avaliações é igual a um conjunto de aproximadamente 5 testes com duração entre 20 a 30 min, de acordo com a necessidade e definido pelo professor. Esses testes ocorrerão sempre ao final das aulas das segundas-feiras. Cada teste terá uma nota variando de 0 a 10. A menor nota de cada conjunto será automaticamente desprezada e a média das demais notas gerará o conceito do respectivo conjunto de avaliações.
    • Conceito mínimo para não necessitar reavaliação: 5, desde que a "média" seja igual ou superior a 6.
    • Um ou mais conceitos <= 4 implica na realização da reavaliação: as 3 reavaliações ocorrerão em data única no último dia de aula.
    • Conceito final: "média" das 3 avaliações (75%) e PF (25%).

IMPORTANTE: o direito de recuperar uma avaliação em que se faltou somente existe mediante justificativa reconhecida pela coordenação. Assim, deve-se protocolar a justificativa no prazo de 48 horas, contando da data e horário da avaliação e aguardar o parecer da coordenação.

Booth's Algorithm

Página principal da disciplina

Plano de Ensino

Cronograma_de_atividades_(CIL-EngTel)

Diário de aulas

Aula 1 -15/02/18: Apresentação da disciplina, plano de aula, trabalhos e métodos de avaliação.

  1. Auto apresentação
  2. Apresentação da Wiki
  3. Plano de Ensino, Ementa, Bibliografia e Estratégia de Ensino
  4. Avaliações, verificar acima.
    1. 3 conjuntos de avaliações (A1, A2 e A3) mais um projeto final (PF).
    2. 1 conjunto de avaliações é igual a um conjunto de aproximadamente 5 testes com duração entre 20 a 30 min, de acordo com a necessidade e definido pelo professor.
    3. Esses testes ocorrerão sempre ao final das aulas das quintas-feiras.
    4. Cada teste terá uma nota variando de 0 a 10.
    5. A menor nota de cada conjunto será automaticamente desprezada e a média das demais notas gerará o conceito do respectivo conjunto de avaliações.
    6. Conceito mínimo para não necessitar reavaliação: 5, desde que a "média" seja igual ou superior a 6.
    7. Um ou mais conceitos <= 4 implica na realização da reavaliação: as 3 reavaliações ocorrerão em data única no último dia de aula.
    8. Conceito final: "média" das 3 avaliações (75%) e PF (25%).
  5. Cronograma de atividades
  6. O que são e onde estão os circuitos lógicos/digitais? Imagens
  7. Qual sua relação com Telecomunicações?
  8. Conceitos iniciais (Seção 1.2 à 1.8 do Pedroni):

Introdução a Circuito Lógicos

Aula 2 -22/02/18: Início do conteúdo sobre representações binárias. Representações Binárias

Aula 3 -26/02/18: Continuação do conteúdo sobre representações binárias e aplicação do Teste 1.

Aula 4 -01/03/18: Início do conteúdo sobre aritmética binária. Aritmética Binária

Aula 5 -05/03/18: Continuação do conteúdo sobre aritmética binária e aplicação do Teste 2.

Aula 6 -08/03/18: Conclusão do conteúdo sobre aritmética binária com resolução de exercícios.

Aula 7 -12/03/18: Introdução aos Circuitos Digitais

Aula 8 -15/03/18: Introdução aos Circuitos Digitais

Aula 9 -19/03/18: Introdução aos Circuitos Digitais

Aula 10 -22/03/18: Aulas suspensas - Reunião POCV-B

Aula 11 -26/03/18: Introdução aos Circuitos Digitais

Aula 12 -29/03/18:

Laboratório 1 - Uso do Quartus
  1. Leia e execute os procedimentos sugeridos no tutorial Quartus II Introduction - Using Schematic Designs. Dê especial atenção aos pontos destacados do texto.
  2. Utilize o Quartus versão 13 de sua máquina local. Faça as seguintes adaptações no tutorial, que também estão anotadas no próprio texto:
    1. Item 4.3 -- Utilize: Family: Cyclone IV E e Available Devices: EP4CE30F23C7.
    2. Item 7 -- Figura 27: x1 = PIN_V21, x2 = PIN_W22 e f = PIN_D6. Detalhes da pinagem.
    3. Siga o roteiro até que sua placa esteja funcionando corretamente.
  3. Uma vez a placa funcionando complete a tabela verdade do circuito montado, fazendo as respectivas combinações de entrada, através das chaves de entrada, e observando a saída, através do led:
x1 x2 f
0 0
0 1
1 0
1 1


O resultado obtido é condizente com o esperado?


  • Orientações gerais:
  1. Salve o projeto. Ao salvar arquivos e projetos tome sempre as seguintes precauções, sob pena de erros de compilação:
    1. Cada projeto deve ter um diretório próprio.
    2. Não inicie o nome de projetos e/ou circuitos com caracteres numéricos.
    3. Não nomeie diretórios e/ou arquivos com espaço em branco, se necessário utilize "_" (underline) como caractere separador.
  2. Procedimento para salvar e recuperar projetos
    1. Para salvar um projeto: [Project > Archive Project... > Archive file name: nome_do_projeto.qar]
    2. O arquivo será salvo em: /home/aluno/nome_do_projeto/output_files/nome_do_projeto.qar
    3. Copie o arquivo para algum repositório particular remoto ou mande o mesmo (nome_do_projeto.qar) para o seu email.
  3. Para restaurar um projeto:
    1. Crie um novo diretório com o nome do projeto.
    2. Baixe o arquivo salvo nesse diretório.
    3. Execute o Quartus.
    4. Clique na opção Open Existing Project.
    5. Navegue no gerenciador de arquivos até encontrar o .qar baixado, dê duplo clique no mesmo e OK.
  4. Há duas formas de executar o Quartus, acesso local e acesso remoto. Nas máquinas do laboratório utilizaremos o acesso local, basta rodar o Quartus versão 13.
  5. Quem desejar praticar em casa pode acessar remotamente, mas antes peça ao professor para criar uma conta na máquina de acesso remoto.
  6. Para acesso remoto o procedimento é o seguinte:
    1. Preferencialmente acesse a IFSC-CLOUD usando o seguinte roteiro
    2. Outra opção é acesso remoto a máquina servidora com o seguinte comando: ssh -X nome_de_usuario@191.36.8.33
    3. Execute o Quartus com o seguinte comando no terminal: /opt/altera/13.0sp1/quartus/bin/quartus &
  7. Em ambos os casos e somente no primeiro uso:
    1. Ajuste o atalho para o navegador, para poder ler os manuais quando necessário, por meio de Tools > Options > Internet Connectivity, no campo Web browser preencha: /usr/bin/firefox.
    2. Verifique a Licença Quartus
  8. Outra opção é utilizar a versão free do Quartus, que apresenta praticamente todas as funcionalidades da versão comercial, com certeza suficiente para o desenvolvimento de nossos projetos.

Aula 13 -02/04/18: Introdução aos Circuitos Digitais

Aula 14 -05/04/18: Introdução aos Circuitos Digitais e Álgebra Booleana

Aula 15 -09/04/18: Álgebra Booleana

Aula 16 -12/04/18: Laboratório 2 - Projeto e implementação de conversor BCD - SSD

Aula 17 -16/04/18: Álgebra Booleana

Aula 18 -19/04/18: Álgebra Booleana e Famílias Lógicas

Aula 19 -23/04/18: Famílias Lógicas e Circuitos Combinacionais Lógicos

Aula 20 -26/04/18: Circuitos Combinacionais Lógicos

Aula 21 -03/05/18: Circuitos Combinacionais Aritméticos

Aula 22 -07/05/18: Circuitos Combinacionais Aritméticos

Aula 23 - 10/05/17: Laboratório 3 - Somador de 4 bits, até o item 3.3 - Análise dos resultados - no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)

Aula 24 -14/05/18: Circuitos Combinacionais Aritméticos e Registradores

Aula 25 -17/05/18: Registradores e Circuitos Sequenciais

Aula 26 -21/05/18: Circuitos Sequenciais

Aula 27 -24/05/18: Circuitos Sequenciais

Aula 28 -28/05/18: Aulas suspensas - Paralisação Camioneiros

Aula 29 -04/06/18: Circuitos Sequenciais

Aula 30 -07/06/18: Circuitos Sequenciais

Aula 31 -11/06/18: Circuitos Sequenciais

Aula 32 -14/06/18: Laboratório 4 – Contador binário síncrono

Aula 33 -18/06/18: Projeto final

Aula 34 -21/06/18: Projeto final

Aula 35 -25/06/18: Projeto final

Aula 36 -28/06/18: Projeto final

Aula 37 -02/07/18: Projeto final

Aula 38 -05/07/18: Reavaliação Final