Mudanças entre as edições de "CIL29003-2016-2"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
 
(14 revisões intermediárias por um outro usuário não estão sendo mostradas)
Linha 2: Linha 2:
 
''Professor'': [[Odilson Tadeu Valle]]
 
''Professor'': [[Odilson Tadeu Valle]]
 
<br>''Email'': odilson@ifsc.edu.br
 
<br>''Email'': odilson@ifsc.edu.br
<br>''Atendimento paralelo'':  3ª das 15h40 às 16h35 e das 9h40 às 10h35. Local: Lab. de Desenvolvimento.
+
<br>''Atendimento paralelo'':  3ª das 15h40 às 16h35 e das 8h25 às 9h20. Local: Lab. de Desenvolvimento.
  
 
* Salas: Terças e quintas-feira - sala 11; Aulas de laboratório: Laboratório de Redes II
 
* Salas: Terças e quintas-feira - sala 11; Aulas de laboratório: Laboratório de Redes II
Linha 8: Linha 8:
 
[http://docente.ifsc.edu.br/odilson/CIL29003/ Página pessoal com slides das aulas e provas anteriores]
 
[http://docente.ifsc.edu.br/odilson/CIL29003/ Página pessoal com slides das aulas e provas anteriores]
  
[[CIL-EngTel_(p%C3%A1gina) | Página principal da disciplina]]
+
[[CIL-EngTel_(p%C3%A1gina) | <span style="font-size:200%"> Página principal da disciplina]]
  
 
* Avaliações
 
* Avaliações
Linha 15: Linha 15:
 
** Um ou mais 6 implica na realização da reavaliação: uma única a ser realizada no último dia de aula.
 
** Um ou mais 6 implica na realização da reavaliação: uma única a ser realizada no último dia de aula.
 
** Conceito final: "média" das 4 avaliações
 
** Conceito final: "média" das 4 avaliações
 +
 +
[http://docente.ifsc.edu.br/odilson/CIL29003/DIARIO-2016-2-C290-CIL29003.pdf <span style="font-size:200%"> Conceitos finais]
 +
  
 
'''IMPORTANTE:''' o direito de recuperar uma avaliação em que se faltou somente existe mediante justificativa reconhecida pela coordenação. Assim, deve-se protocolar a justificativa no prazo de 48 horas, contando da data e horário da avaliação e aguardar o parecer da coordenação.
 
'''IMPORTANTE:''' o direito de recuperar uma avaliação em que se faltou somente existe mediante justificativa reconhecida pela coordenação. Assim, deve-se protocolar a justificativa no prazo de 48 horas, contando da data e horário da avaliação e aguardar o parecer da coordenação.
Linha 113: Linha 116:
 
#Em ambos os casos e somente no primeiro uso:
 
#Em ambos os casos e somente no primeiro uso:
 
##Ajuste o atalho para o navegador, para poder ler os manuais quando necessário, por meio de '''Tools > Options > Internet Connectivity''', no campo '''Web browser''' preencha: '''/usr/bin/firefox'''.
 
##Ajuste o atalho para o navegador, para poder ler os manuais quando necessário, por meio de '''Tools > Options > Internet Connectivity''', no campo '''Web browser''' preencha: '''/usr/bin/firefox'''.
##Para indicar a licença, selecione '''Tools>Options>License Setup''', e indique o local onde está a licença do software License File:
+
##Verifique a [[Licença Quartus]]
##* se estiver usando a rede do IFSC: ''1800@vm-lan2''
 
##* Se estiver usando a IFSC-CLOUD: 1800@vm-lan2.sj.ifsc.edu.br
 
##* ou opte pela avaliação de 30 dias
 
 
#Outra opção é utilizar a versão free do Quartus, que apresenta praticamente todas as funcionalidades da versão comercial, com certeza suficiente para o desenvolvimento de nossos projetos.
 
#Outra opção é utilizar a versão free do Quartus, que apresenta praticamente todas as funcionalidades da versão comercial, com certeza suficiente para o desenvolvimento de nossos projetos.
 
{{Collapse bottom}}
 
{{Collapse bottom}}
Linha 131: Linha 131:
 
#Faça os testes de funcionamento
 
#Faça os testes de funcionamento
  
Aula : [[Circuitos_Lógicos_Aritméticos | Laboratório 3 - Somador de 4 bits]] no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)
+
Aula 18 - 11/10/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_11_Circuitos_combinacionais_Logicos.pdf Circuitos Combinacionais Lógicos].
*Siga o roteiro e execute até o item 3.5 (inclusive).
+
 
*Execute novamente o item 3.2, acrescentando ao grupo de saída ('''Group''') a saída '''cout3''' como o bit mais significativo, ou seja, a '''Group S''' ficará com '''cout3''', '''s3''', '''s2''', '''s1''' e '''s0'''. Simule novamente e verifique o resultado. Agora os erros de soma devem ser corrigidos.
+
Aula 19 - 13/10/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_11_Circuitos_combinacionais_Logicos.pdf Circuitos Combinacionais Lógicos] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos].
 +
 
 +
Aula 20 - 18/10/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos].
 +
 
 +
Aula 21 - 20/10/16: [[Circuitos_Lógicos_Aritméticos | Laboratório 3 - Somador de 4 bits]] no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)
 +
 
 +
Aula 22 - 25/10/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_12_Circuitos_combinacionais_Aritmeticos.pdf Circuitos Combinacionais Aritméticos] e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_13_Registradores.pdf e Registradores].
 +
 
 +
Aula 23 - 27/10/16: Dúvidas para segunda avaliação  e [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_13_Registradores.pdf e Registradores].
 +
 
 +
Aula 24 - 01/11/16: Segunda Avaliação - Álgebra Booleana, Famílias Lógicas, Circuitos Combinacionais Lógicos e Aritméticos. [http://docente.ifsc.edu.br/odilson/CIL29003/Aval2_Gabaratito2015-2.pdf Gabarito da avaliação 2 do semestre 2015/2]. O conteúdo avaliado à época pode diferir do atual.
 +
 
 +
Aula 25 - 03/11/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais] e discussão do [[Projetos_Finais_CIL29003 | projeto final]].
 +
 
 +
Aula 26 - 08/11/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais].
 +
 
 +
Aula 27 - 10/11/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais].
 +
 
 +
Aula 28 - 17/11/16: Laboratório 5 – [[Contador_binário_síncrono | Contador binário síncrono]] - Apresentação da ideia do projeto.
 +
 
 +
Aula 29 - 22/11/16: [http://docente.ifsc.edu.br/odilson/CIL29003/Pedroni_Cap_14_Circuitos_Sequenciais.pdf Circuitos Sequenciais].
 +
 
 +
Aula 30 - 24/11/16: Dúvidas para terceira avaliação e definição dos temas de [[Projetos_Finais_CIL29003 | projeto final]] por equipe.
 +
 
 +
Aula 31 - 29/11/16: Terceira Avaliação - Registradores e Circuitos sequenciais. [http://docente.ifsc.edu.br/odilson/CIL29003/Aval3_Gabaratito.pdf Gabarito da avaliação 3 passada].
 +
 
 +
Aula 32 - 01/12/16: [[Projetos_Finais_CIL29003 | Projeto final em equipes]]
 +
 
 +
Aula 33 - 06/12/16: [[Projetos_Finais_CIL29003 | Projeto final em equipes]]
 +
 
 +
Aula 34 - 08/12/16: [[Projetos_Finais_CIL29003 | Projeto final em equipes]]
 +
 
 +
Aula 35 - 13/12/16: Apresentação individual do projeto final.
 +
 
 +
Aula 36 - 15/12/16: Reavaliações.
 +
 
 +
Aula 37 - 20/12/16: Apresentação individual do projeto final - última chance.

Edição atual tal como às 09h36min de 23 de fevereiro de 2021

Dados Importantes

Professor: Odilson Tadeu Valle
Email: odilson@ifsc.edu.br
Atendimento paralelo: 3ª das 15h40 às 16h35 e 4ª das 8h25 às 9h20. Local: Lab. de Desenvolvimento.

  • Salas: Terças e quintas-feira - sala 11; Aulas de laboratório: Laboratório de Redes II

Página pessoal com slides das aulas e provas anteriores

Página principal da disciplina

  • Avaliações
    • 3 avaliações (A1, A2 e A3) mais um projeto final (PF).
    • Conceito mínimo para não necessitar reavaliação: 6.
    • Um ou mais 6 implica na realização da reavaliação: uma única a ser realizada no último dia de aula.
    • Conceito final: "média" das 4 avaliações

Conceitos finais


IMPORTANTE: o direito de recuperar uma avaliação em que se faltou somente existe mediante justificativa reconhecida pela coordenação. Assim, deve-se protocolar a justificativa no prazo de 48 horas, contando da data e horário da avaliação e aguardar o parecer da coordenação.

Plano de Ensino

Cronograma_de_atividades_(CIL-EngTel)

IFSC-Cloud

O IFSC-Cloud permite que qualquer usuário cadastrado acesse vários programas oficiais do ISFC remotamente, entre outros o Quartus que será utilizado intensivamente nesta disciplina. Inclusive permite que o aluno deixe processos/simulações longas rodando e verificar os resultados em um próximo acesso.

Para os alunos ainda não cadastrados na IFSC-Cloud, peça para o professor fazer seu cadastro. Após o cadastro siga exatamente TODOS os passos de Acesso_ao_IFSC-CLOUD. Caso tenha algum problema com a senha, entre em contato com o professor

Proposta de projeto final e equipes

Diário de aulas

Aula 1 - 11/08/16:

Apresentação da disciplina
  • Apresentação da disciplina, plano de aula, trabalhos e métodos de avaliação.
  1. Auto apresentação
  2. Apresentação da Wiki
  3. Plano de Ensino, Ementa, Bibliografia e Estratégia de Ensino
  4. Avaliações
    1. 3 avaliações (A1, A2 e A3) mais um projeto final (PF).
    2. Conceito mínimo em cada avaliação para não necessitar reavaliação: 6.
    3. Um ou mais 6 implica na realização da reavaliação: uma única a ser realizada no último dia de aula.
    4. Conceito final: "média" das 4 avaliações
  5. Cronograma de atividades
  6. Relação com outras disciplinas do curso
  7. O que são e onde estão os circuitos lógicos/digitais? Imagens
  8. Qual sua relação com Telecomunicações?
  9. Conceitos iniciais (Seção 1.2 à 1.8 do Pedroni):
    1. Introdução a Circuito Lógicos

Aula 2 - 16/08/16: Aula suspensa devido a participação no Treinamento em Tecnologia FPGA Altera.

Aula 3 - 18/08/16: Aula suspensa devido a participação no Treinamento em Tecnologia FPGA Altera.

Aula 4 - 23/08/16: Representações Binárias

Aula 5 - 25/08/16: Representações Binárias

Aula 6 - 30/08/16: Aritmética Binária

Aula 7 - 01/09/16: Aritmética Binária

Aula 8 - 06/09/16: Introdução aos Circuitos Digitais

Aula 9 - 08/09/16: Introdução aos Circuitos Digitais

Aula 10 - 13/09/16: Álgebra Booleana.

Aula 11 - 15/09/16: Dúvidas para a primeira avaliação.

Aula 12 - 20/09/16: Primeira avaliação: Introdução a Circuito Lógicos, Representações Binárias, Aritmética Binária e Introdução aos Circuitos Digitais.

Aula 13 - 22/09/16:

Laboratório 1 - Uso do Quartus
  1. Leia e execute os procedimentos sugeridos no tutorial Quartus II Introduction - Using Schematic Designs. Dê especial atenção aos pontos destacados do texto.
  2. Utilize o Quartus versão 13 de sua máquina local. Faça as seguintes adaptações no tutorial, que também estão anotadas no próprio texto:
    1. Item 4.3 -- Utilize: Family: Cyclone IV E e Available Devices: EP4CE30F23C7.
    2. Item 7 -- Figura 27: x1 = PIN_V21, x2 = PIN_W22 e f = PIN_D6. Detalhes da pinagem.
    3. Siga o roteiro até que sua placa esteja funcionando corretamente.
  3. Uma vez a placa funcionando complete a tabela verdade do circuito montado, fazendo as respectivas combinações de entrada, através das chaves de entrada, e observando a saída, através do led:
x1 x2 f
0 0
0 1
1 0
1 1
  1. O resultado obtido é condizente com o esperado?
  2. Salve o projeto. Ao salvar arquivos e projetos tome sempre as seguintes precauções, sob pena de erros de compilação:
    1. Cada projeto deve ter um diretório próprio.
    2. Não inicie o nome de projetos e/ou circuitos com caracteres numéricos.
    3. Não nomeie diretórios e/ou arquivos com espaço em branco, se necessário utilize "_" (underline) como caractere separador.
  3. Procedimento para salvar e recuperar projetos
    1. Para salvar um projeto: [Project > Archive Project... > Archive file name: nome_do_projeto.qar]
    2. O arquivo será salvo em: /home/aluno/nome_do_projeto/output_files/nome_do_projeto.qar
    3. Copie o arquivo para algum repositório particular remoto ou mande o mesmo (nome_do_projeto.qar) para o seu email.
  4. Para restaurar um projeto:
    1. Crie um novo diretório com o nome do projeto.
    2. Baixe o arquivo salvo nesse diretório.
    3. Execute o Quartus.
    4. Clique na opção Open Existing Project.
    5. Navegue no gerenciador de arquivos até encontrar o .qar baixado, dê duplo clique no mesmo e OK.
  5. Há duas formas de executar o Quartus, acesso local e acesso remoto. Nas máquinas do laboratório utilizaremos o acesso local, basta rodar o Quartus versão 13.
  6. Quem desejar praticar em casa pode acessar remotamente, mas antes peça ao professor para criar uma conta na máquina de acesso remoto.
  7. Para acesso remoto o procedimento é o seguinte:
    1. Preferencialmente acesse a IFSC-CLOUD usando o seguinte roteiro
    2. Outra opção é acesso remoto a máquina servidora com o seguinte comando: ssh -X nome_de_usuario@200.135.233.26
    3. Execute o Quartus com o seguinte comando no terminal: /opt/altera/13.0sp1/quartus/bin/quartus &
  8. Em ambos os casos e somente no primeiro uso:
    1. Ajuste o atalho para o navegador, para poder ler os manuais quando necessário, por meio de Tools > Options > Internet Connectivity, no campo Web browser preencha: /usr/bin/firefox.
    2. Verifique a Licença Quartus
  9. Outra opção é utilizar a versão free do Quartus, que apresenta praticamente todas as funcionalidades da versão comercial, com certeza suficiente para o desenvolvimento de nossos projetos.

Aula 14 - 27/09/16: Álgebra Booleana.

Aula 15 - 29/09/16: Álgebra Booleana.

Aula 16 - 04/10/16: Famílias Lógicas.

Aula 17 - 06/10/16: Laboratório 2 - Conversor BCD para SSD

  1. Siga o roteiro baixando .qar indicado.
  2. Faça e implemente o projeto para os demais segmentos, utilizando como entradas as quatro chaves disponíveis no kit MERCURIO IV - EP4CE30F23C7
  3. Faça os testes de funcionamento

Aula 18 - 11/10/16: Circuitos Combinacionais Lógicos.

Aula 19 - 13/10/16: Circuitos Combinacionais Lógicos e Circuitos Combinacionais Aritméticos.

Aula 20 - 18/10/16: Circuitos Combinacionais Aritméticos.

Aula 21 - 20/10/16: Laboratório 3 - Somador de 4 bits no Kit Mercurio IV (Family = Cyclone IV E / device = EP4CE30F23C7)

Aula 22 - 25/10/16: Circuitos Combinacionais Aritméticos e e Registradores.

Aula 23 - 27/10/16: Dúvidas para segunda avaliação e e Registradores.

Aula 24 - 01/11/16: Segunda Avaliação - Álgebra Booleana, Famílias Lógicas, Circuitos Combinacionais Lógicos e Aritméticos. Gabarito da avaliação 2 do semestre 2015/2. O conteúdo avaliado à época pode diferir do atual.

Aula 25 - 03/11/16: Circuitos Sequenciais e discussão do projeto final.

Aula 26 - 08/11/16: Circuitos Sequenciais.

Aula 27 - 10/11/16: Circuitos Sequenciais.

Aula 28 - 17/11/16: Laboratório 5 – Contador binário síncrono - Apresentação da ideia do projeto.

Aula 29 - 22/11/16: Circuitos Sequenciais.

Aula 30 - 24/11/16: Dúvidas para terceira avaliação e definição dos temas de projeto final por equipe.

Aula 31 - 29/11/16: Terceira Avaliação - Registradores e Circuitos sequenciais. Gabarito da avaliação 3 passada.

Aula 32 - 01/12/16: Projeto final em equipes

Aula 33 - 06/12/16: Projeto final em equipes

Aula 34 - 08/12/16: Projeto final em equipes

Aula 35 - 13/12/16: Apresentação individual do projeto final.

Aula 36 - 15/12/16: Reavaliações.

Aula 37 - 20/12/16: Apresentação individual do projeto final - última chance.