Códigos VHDL para uso nas Aulas

De MediaWiki do Campus São José
Revisão de 16h13min de 13 de março de 2012 por Moecke (discussão | contribs)
Ir para navegação Ir para pesquisar

Descrever usando lógica discreta um decodificador de BCD para 7 segmentos. Dec 7seg.png

Código

entity Dec_7seg is
	port
	(
		eA,eB,eC,eD	: in  bit;
		a,b,c,d,e,f,g	: out bit
	);
end Dec_7seg;

architecture discret_logic of Dec_7seg is


begin
--lógica que implementa o segmento a de um display (0,1,2,3,4,5,6,7,8,9), sem minimização.
-- 0 -> aceso (ON),  1 -> apagado (OFF)

a <= (NOT eD AND NOT eC AND NOT eB AND eA) OR  
		(NOT eD AND eC AND NOT eB AND NOT eA);
--implemente a lógica dos demais segmentos do display (0,1,2,3,4,5,6,7,8,9), sem minimização.
b <= 
c <=
d <=
e <=
f <=
g <=

end discret_logic;