Códigos VDHL - DLP
Revisão de 08h15min de 26 de agosto de 2014 por 179.223.132.93 (discussão)
Circuito de buffer tri-state
------------------------------------------------
--Inserir a biblioteca necessária para uso do tipo de dados std_logic, pois é necessário o 'Z' tri-state.
library __________;
use __________;
------------------------------------------------
entity tri_state is
port
(
-- Portas (sinais) de entrada
input: in std_logic;
enable : in std_logic;
-- Portas (sinais) de saída
output : out std_logic
);
end entity ;
------------------------------------------------
architecture tri_state of tri_state is
begin
output <= input when enable='1' ELSE 'Z';
end architecture;
Circuito com saída 'dont care'
------------------------------------------------
--Inserir a biblioteca necessária para uso do tipo de dados std_logic.
library __________;
use __________;
------------------------------------------------
entity _______ is
port
(
-- Portas (sinais) de entrada
x: in std_logic(1 downto 0);
-- Portas (sinais) de saída
y: out std_logic(1 downto 0)
);
end entity ________ ;
------------------------------------------------
architecture _______of circuito is
begin
y <= "00" when x="00" else "01" when x="01" else "10" when x="10" else "--";
end architecture;
------------------------------------------------
Circuito multiplicador com dado do tipo SIGNED
------------------------------------------------
--Inserir a biblioteca necessária para uso do tipo de dados unsigned.
library __________;
use __________;
------------------------------------------------
entity multiplicador is
port
(
-- Portas (sinais) de entrada
a,b: in unsigned(3 downto 0);
-- Portas (sinais) de saída
y: out unsigned(7 downto 0) -- O produto tem n_bits(a) + n_bits(b)
);
end multiplicador;
------------------------------------------------
architecture _______of multiplicador is
begin
y <= a * b;
end architecture;
------------------------------------------------