Array em VHDL

De MediaWiki do Campus São José
Revisão de 11h41min de 28 de agosto de 2019 por 127.0.0.1 (discussão) (Criou página com '== Tipos ARRAY predefinidos no VHDL == Na lilnguagem VHDL existem alguns tipos que são definidos como array (vetores) de um tipo básico. Por exemplo, na biblioteca [[standard....')
(dif) ← Edição anterior | Revisão atual (dif) | Versão posterior → (dif)
Ir para navegação Ir para pesquisar

Tipos ARRAY predefinidos no VHDL

Na lilnguagem VHDL existem alguns tipos que são definidos como array (vetores) de um tipo básico. Por exemplo, na biblioteca standard.vhd define os tipos string e bit_vector como ARRAY de bit e character. Na std_logic_1164.vhd é definido o tipo std_logic_vector como ARRAY de tipo std_logic. A biblioteca numeric_std.vhd define os tipos unsigned e signed também como ARRAY do tipo std_logic.

-- package standard.vhd  (não precisa ser declarado pois é usado por default)
library std;
use std.standard.all;
...
type string is array (positive range <>) of character; 
type bit_vector is array (natural range <>) of bit;

-- package std_logic_1164.vhd
library ieee;
use ieee.std_logic_1164.all;
...
type std_logic_vector is array ( natural range <>) of std_logic;

-- package numeric_std 
library ieee;
use ieee.numeric_std.all;
...
type unsigned is array (natural range <>) of std_logic;
type signed is array (natural range <>) of std_logic;

Para o uso desses tipos é necessário declarar a biblioteca e usar o pacote (package) correspondente, conforme indicado acima.

Declaração de tipos ARRAY pelo usuário