Mudanças entre as edições de "Arquivo qsf com pinagens dos kits da ALTERA"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
 
(2 revisões intermediárias por um outro usuário não estão sendo mostradas)
Linha 1: Linha 1:
 
=Stratix II EP2S60 DSP - Development Board=
 
=Stratix II EP2S60 DSP - Development Board=
A pinagem e conexão do FPGA com os circuitos externos no kit é indicada no [[Media:DS-S29804.pdf |Stratix II EP2S60 DSP - Development Board]]. A seguir algumas tabelas resumo das pinagens principais.
+
A pinagem e conexão do FPGA com os circuitos externos no kit é indicada no [[Media:DS-S29804.pdf |Stratix II EP2S60 DSP - Development Board]].
  
[[Arquivo:Pinagem_DISP_LED.png ]]
+
Um bom material sobre ela pode ser vista em [[Media:Stratix-II-EP2S60-DSP-Development-Board.pdf|Stratix II EP2S60 DSP Development Board]]
[[Arquivo:Pinagem_CADA.png]]
+
 
[[Arquivo:Pinagem_CADB.png]]
+
==Arquivo qsf==
[[Arquivo:Pinagem_CDAA.png]]
+
Para configurar/atribuir os pinos desejados vocẽ pode acrescentar as linhas de set_location_assignment do dispositivo no arquivo ".qsf", ou utilizar a interface gráfica para fazer o trabalho.
[[Arquivo:Pinagem_CDAB.png]]
+
<syntaxhighlight lang=tcl>
 +
 
 +
###############CONVERSORES ANALOGICO/DIGITAL#############
 +
 
 +
    set_location_assignment PIN_D1 -to adcA_D[0]
 +
    set_location_assignment PIN_D2 -to adcA_D[1]
 +
    set_location_assignment PIN_E3 -to adcA_D[2]
 +
    set_location_assignment PIN_E4 -to adcA_D[3]
 +
    set_location_assignment PIN_E1 -to adcA_D[4]
 +
    set_location_assignment PIN_E2 -to adcA_D[5]
 +
    set_location_assignment PIN_F3 -to adcA_D[6]
 +
    set_location_assignment PIN_F4 -to adcA_D[7]
 +
    set_location_assignment PIN_F1 -to adcA_D[8]
 +
    set_location_assignment PIN_F2 -to adcA_D[9]
 +
    set_location_assignment PIN_G3 -to adcA_D[10]
 +
    set_location_assignment PIN_G4 -to adcA_D[11]
 +
 
 +
    set_location_assignment PIN_G1 -to adcB_D[0]
 +
    set_location_assignment PIN_G2 -to adcB_D[1]
 +
    set_location_assignment PIN_J3 -to adcB_D[2]
 +
    set_location_assignment PIN_J4 -to adcB_D[3]
 +
    set_location_assignment PIN_H1 -to adcB_D[4]
 +
    set_location_assignment PIN_H2 -to adcB_D[5]
 +
    set_location_assignment PIN_J1 -to adcB_D[6]
 +
    set_location_assignment PIN_J2 -to adcB_D[7]
 +
    set_location_assignment PIN_K3 -to adcB_D[8]
 +
    set_location_assignment PIN_K4 -to adcB_D[9]
 +
    set_location_assignment PIN_K1 -to adcB_D[10]
 +
    set_location_assignment PIN_K2 -to adcB_D[11]
 +
 
 +
##############CONVERSORES DIGITAL/ANALOGICO##################
 +
 
 +
    set_location_assignment PIN_W7 -to dacA_D[0]
 +
    set_location_assignment PIN_W6 -to dacA_D[1]
 +
    set_location_assignment PIN_W9 -to dacA_D[2]
 +
    set_location_assignment PIN_W8 -to dacA_D[3]
 +
    set_location_assignment PIN_V5 -to dacA_D[4]
 +
    set_location_assignment PIN_V4 -to dacA_D[5]
 +
    set_location_assignment PIN_V7 -to dacA_D[6]
 +
    set_location_assignment PIN_V6 -to dacA_D[7]
 +
    set_location_assignment PIN_V10 -to dacA_D[8]
 +
    set_location_assignment PIN_V9 -to dacA_D[9]
 +
    set_location_assignment PIN_U11 -to dacA_D[10]
 +
    set_location_assignment PIN_U10 -to dacA_D[11]
 +
    set_location_assignment PIN_U6 -to dacA_D[12]
 +
    set_location_assignment PIN_U5 -to dacA_D[13]
 +
 
 +
    set_location_assignment PIN_AA7 -to dacB_D[0]
 +
    set_location_assignment PIN_AA6 -to dacB_D[1]
 +
    set_location_assignment PIN_AA11 -to dacB_D[2]
 +
    set_location_assignment PIN_AA10 -to dacB_D[3]
 +
    set_location_assignment PIN_AB6 -to dacB_D[4]
 +
    set_location_assignment PIN_AB5 -to dacB_D[5]
 +
    set_location_assignment PIN_Y11 -to dacB_D[6]
 +
    set_location_assignment PIN_Y10 -to dacB_D[7]
 +
    set_location_assignment PIN_Y9 -to dacB_D[8]
 +
    set_location_assignment PIN_Y8 -to dacB_D[9]
 +
    set_location_assignment PIN_Y7 -to dacB_D[10]
 +
    set_location_assignment PIN_Y6 -to dacB_D[11]
 +
    set_location_assignment PIN_W5 -to dacB_D[12]
 +
    set_location_assignment PIN_W4 -to dacB_D[13]
 +
 
 +
####################DISPLAYS 7 SEGMENTOS#################
 +
 
 +
    set_location_assignment PIN_F9 -to HEX_0[0]
 +
    set_location_assignment PIN_E9 -to HEX_0[1]
 +
    set_location_assignment PIN_C10 -to HEX_0[2]
 +
    set_location_assignment PIN_C11 -to HEX_0[3]
 +
    set_location_assignment PIN_F11 -to HEX_0[4]
 +
    set_location_assignment PIN_F12 -to HEX_0[5]
 +
    set_location_assignment PIN_C12 -to HEX_0[6]
 +
    set_location_assignment PIN_B12 -to HEX_0DP
 +
 
 +
    set_location_assignment PIN_B8 -to HEX_1[0]
 +
    set_location_assignment PIN_C7 -to HEX_1[1]
 +
    set_location_assignment PIN_D7 -to HEX_1[2]
 +
    set_location_assignment PIN_B6 -to HEX_1[3]
 +
    set_location_assignment PIN_B5 -to HEX_1[4]
 +
    set_location_assignment PIN_C5 -to HEX_1[5]
 +
    set_location_assignment PIN_C4 -to HEX_1[6]
 +
    set_location_assignment PIN_B9 -to HEX_1DP
 +
 
 +
######################BOTOES SW##########################
 +
 
 +
    set_location_assignment PIN_K14 -to SW4
 +
    set_location_assignment PIN_J15 -to SW5
 +
    set_location_assignment PIN_L13 -to SW6
 +
    set_location_assignment PIN_J13 -to SW7
 +
 
 +
#######################LEDS###############################
 +
 
 +
    set_location_assignment PIN_B4 -to LEDG[0]
 +
    set_location_assignment PIN_D5 -to LEDG[1]
 +
    set_location_assignment PIN_E5 -to LEDG[2]
 +
    set_location_assignment PIN_A4 -to LEDG[3]
 +
    set_location_assignment PIN_A5 -to LEDG[4]
 +
    set_location_assignment PIN_D6 -to LEDG[5]
 +
    set_location_assignment PIN_C6 -to LEDG[6]
 +
    set_location_assignment PIN_A6 -to LEDG[7]
 +
 
 +
#######################CLOCK###############################
  
[[Arquivo:DisplayDuplo.png]]
+
    set_location_assignment PIN_AM17 -to clock100MHz
  
{{collapse top | qsf simples (LEDs, Chaves, Displays, CAD, CDA, Clock100MHz)}}
 
<syntaxhighlight lang=tcl>
 
# Pin & Location Assignments
 
# ==========================
 
set_location_assignment PIN_C4 -to U12[0]
 
set_location_assignment PIN_C10 -to Display_7_Segment[10]
 
set_location_assignment PIN_C11 -to Display_7_Segment[11]
 
set_location_assignment PIN_F11 -to Display_7_Segment[12]
 
set_location_assignment PIN_F12 -to Display_7_Segment[13]
 
set_location_assignment PIN_C12 -to Display_7_Segment[14]
 
set_location_assignment PIN_B12 -to Display_7_Segment[15]
 
set_location_assignment PIN_C5 -to Display_7_Segment[1]
 
set_location_assignment PIN_B5 -to Display_7_Segment[2]
 
set_location_assignment PIN_B6 -to Display_7_Segment[3]
 
set_location_assignment PIN_D7 -to Display_7_Segment[4]
 
set_location_assignment PIN_C7 -to Display_7_Segment[5]
 
set_location_assignment PIN_B8 -to Display_7_Segment[6]
 
set_location_assignment PIN_B9 -to Display_7_Segment[7]
 
set_location_assignment PIN_F9 -to Display_7_Segment[8]
 
set_location_assignment PIN_E9 -to Display_7_Segment[9]
 
 
</syntaxhighlight>
 
</syntaxhighlight>
{{collapse bottom}}
 
 
 
{{collapse top | qsf completo}}
 
{{collapse top | qsf completo}}
 
<syntaxhighlight lang=tcl>
 
<syntaxhighlight lang=tcl>
Linha 302: Linha 380:
 
set_location_assignment PIN_Y30 -to flash_WP_n
 
set_location_assignment PIN_Y30 -to flash_WP_n
 
</syntaxhighlight>
 
</syntaxhighlight>
 +
{{collapse bottom}}
 +
 +
==Outros cuidados de configuração==
 +
Além da configurações dos pinos certifique-se que o projeto está com o DEVICE correto e que os pinos não utilizados estão em 3-estado.
 +
 +
  set_global_assignment -name FAMILY "Stratix II"
 +
  set_global_assignment -name DEVICE EP2S60F1020C3
 +
  set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
 +
 +
Para conferir as pinagens dos pinos do kit, confira as tabelas do manual do kit, cujas tabelas de resumo estão a seguir:
 +
 +
{{collapse top | Tabelas da pinagem dos displays e leds}}
 +
[[Arquivo:Pinagem_DISP_LED.png ]]
 +
{{collapse bottom}}
 +
 +
{{collapse top | Nomenclatura dos segmentos nos displays)}}
 +
[[Arquivo:DisplayDuplo.png]]
 +
{{collapse bottom}}
 +
 +
{{collapse top | Tabelas da pinagem dos Conversores AD e DA}}
 +
[[Arquivo:Pinagem_CADA.png]]
 +
[[Arquivo:Pinagem_CADB.png]]
 +
[[Arquivo:Pinagem_CDAA.png]]
 +
[[Arquivo:Pinagem_CDAB.png]]
 
{{collapse bottom}}
 
{{collapse bottom}}

Edição atual tal como às 12h47min de 4 de abril de 2016

Stratix II EP2S60 DSP - Development Board

A pinagem e conexão do FPGA com os circuitos externos no kit é indicada no Stratix II EP2S60 DSP - Development Board.

Um bom material sobre ela pode ser vista em Stratix II EP2S60 DSP Development Board

Arquivo qsf

Para configurar/atribuir os pinos desejados vocẽ pode acrescentar as linhas de set_location_assignment do dispositivo no arquivo ".qsf", ou utilizar a interface gráfica para fazer o trabalho.

###############CONVERSORES ANALOGICO/DIGITAL#############

    set_location_assignment PIN_D1 -to adcA_D[0]
    set_location_assignment PIN_D2 -to adcA_D[1]
    set_location_assignment PIN_E3 -to adcA_D[2]
    set_location_assignment PIN_E4 -to adcA_D[3]
    set_location_assignment PIN_E1 -to adcA_D[4]
    set_location_assignment PIN_E2 -to adcA_D[5]
    set_location_assignment PIN_F3 -to adcA_D[6]
    set_location_assignment PIN_F4 -to adcA_D[7]
    set_location_assignment PIN_F1 -to adcA_D[8]
    set_location_assignment PIN_F2 -to adcA_D[9]
    set_location_assignment PIN_G3 -to adcA_D[10]
    set_location_assignment PIN_G4 -to adcA_D[11]

    set_location_assignment PIN_G1 -to adcB_D[0]
    set_location_assignment PIN_G2 -to adcB_D[1]
    set_location_assignment PIN_J3 -to adcB_D[2]
    set_location_assignment PIN_J4 -to adcB_D[3]
    set_location_assignment PIN_H1 -to adcB_D[4]
    set_location_assignment PIN_H2 -to adcB_D[5]
    set_location_assignment PIN_J1 -to adcB_D[6]
    set_location_assignment PIN_J2 -to adcB_D[7]
    set_location_assignment PIN_K3 -to adcB_D[8]
    set_location_assignment PIN_K4 -to adcB_D[9]
    set_location_assignment PIN_K1 -to adcB_D[10]
    set_location_assignment PIN_K2 -to adcB_D[11]

##############CONVERSORES DIGITAL/ANALOGICO##################

    set_location_assignment PIN_W7 -to dacA_D[0]
    set_location_assignment PIN_W6 -to dacA_D[1]
    set_location_assignment PIN_W9 -to dacA_D[2]
    set_location_assignment PIN_W8 -to dacA_D[3]
    set_location_assignment PIN_V5 -to dacA_D[4]
    set_location_assignment PIN_V4 -to dacA_D[5]
    set_location_assignment PIN_V7 -to dacA_D[6]
    set_location_assignment PIN_V6 -to dacA_D[7]
    set_location_assignment PIN_V10 -to dacA_D[8]
    set_location_assignment PIN_V9 -to dacA_D[9]
    set_location_assignment PIN_U11 -to dacA_D[10]
    set_location_assignment PIN_U10 -to dacA_D[11]
    set_location_assignment PIN_U6 -to dacA_D[12]
    set_location_assignment PIN_U5 -to dacA_D[13]

    set_location_assignment PIN_AA7 -to dacB_D[0]
    set_location_assignment PIN_AA6 -to dacB_D[1]
    set_location_assignment PIN_AA11 -to dacB_D[2]
    set_location_assignment PIN_AA10 -to dacB_D[3]
    set_location_assignment PIN_AB6 -to dacB_D[4]
    set_location_assignment PIN_AB5 -to dacB_D[5]
    set_location_assignment PIN_Y11 -to dacB_D[6]
    set_location_assignment PIN_Y10 -to dacB_D[7]
    set_location_assignment PIN_Y9 -to dacB_D[8]
    set_location_assignment PIN_Y8 -to dacB_D[9]
    set_location_assignment PIN_Y7 -to dacB_D[10]
    set_location_assignment PIN_Y6 -to dacB_D[11]
    set_location_assignment PIN_W5 -to dacB_D[12]
    set_location_assignment PIN_W4 -to dacB_D[13]

####################DISPLAYS 7 SEGMENTOS#################

    set_location_assignment PIN_F9 -to HEX_0[0]
    set_location_assignment PIN_E9 -to HEX_0[1]
    set_location_assignment PIN_C10 -to HEX_0[2]
    set_location_assignment PIN_C11 -to HEX_0[3]
    set_location_assignment PIN_F11 -to HEX_0[4]
    set_location_assignment PIN_F12 -to HEX_0[5]
    set_location_assignment PIN_C12 -to HEX_0[6]
    set_location_assignment PIN_B12 -to HEX_0DP

    set_location_assignment PIN_B8 -to HEX_1[0]
    set_location_assignment PIN_C7 -to HEX_1[1]
    set_location_assignment PIN_D7 -to HEX_1[2]
    set_location_assignment PIN_B6 -to HEX_1[3]
    set_location_assignment PIN_B5 -to HEX_1[4]
    set_location_assignment PIN_C5 -to HEX_1[5]
    set_location_assignment PIN_C4 -to HEX_1[6]
    set_location_assignment PIN_B9 -to HEX_1DP

######################BOTOES SW##########################

    set_location_assignment PIN_K14 -to SW4
    set_location_assignment PIN_J15 -to SW5
    set_location_assignment PIN_L13 -to SW6
    set_location_assignment PIN_J13 -to SW7

#######################LEDS###############################

    set_location_assignment PIN_B4 -to LEDG[0]
    set_location_assignment PIN_D5 -to LEDG[1]
    set_location_assignment PIN_E5 -to LEDG[2]
    set_location_assignment PIN_A4 -to LEDG[3]
    set_location_assignment PIN_A5 -to LEDG[4]
    set_location_assignment PIN_D6 -to LEDG[5]
    set_location_assignment PIN_C6 -to LEDG[6]
    set_location_assignment PIN_A6 -to LEDG[7]

#######################CLOCK###############################

    set_location_assignment PIN_AM17 -to clock100MHz
qsf completo
# Pin & Location Assignments
# ==========================
set_location_assignment PIN_H4 -to CF_POWER
set_location_assignment PIN_V19 -to DTR[1]
set_location_assignment PIN_V22 -to DTR[2]
set_location_assignment PIN_C4 -to Display_7_Segment[0]
set_location_assignment PIN_C10 -to Display_7_Segment[10]
set_location_assignment PIN_C11 -to Display_7_Segment[11]
set_location_assignment PIN_F11 -to Display_7_Segment[12]
set_location_assignment PIN_F12 -to Display_7_Segment[13]
set_location_assignment PIN_C12 -to Display_7_Segment[14]
set_location_assignment PIN_B12 -to Display_7_Segment[15]
set_location_assignment PIN_C5 -to Display_7_Segment[1]
set_location_assignment PIN_B5 -to Display_7_Segment[2]
set_location_assignment PIN_B6 -to Display_7_Segment[3]
set_location_assignment PIN_D7 -to Display_7_Segment[4]
set_location_assignment PIN_C7 -to Display_7_Segment[5]
set_location_assignment PIN_B8 -to Display_7_Segment[6]
set_location_assignment PIN_B9 -to Display_7_Segment[7]
set_location_assignment PIN_F9 -to Display_7_Segment[8]
set_location_assignment PIN_E9 -to Display_7_Segment[9]
set_location_assignment PIN_AA25 -to ENET_ADS_N
set_location_assignment PIN_AC25 -to ENET_AEN
set_location_assignment PIN_AE26 -to ENET_BE_N[0]
set_location_assignment PIN_AE25 -to ENET_BE_N[1]
set_location_assignment PIN_AD25 -to ENET_BE_N[2]
set_location_assignment PIN_AD24 -to ENET_BE_N[3]
set_location_assignment PIN_T20 -to ENET_DATACS_N
set_location_assignment PIN_AB23 -to ENET_INTRQ[0]
set_location_assignment PIN_V26 -to ENET_IOCHRDY
set_location_assignment PIN_AC24 -to ENET_IOR_N
set_location_assignment PIN_AB26 -to ENET_IOW_N
set_location_assignment PIN_T26 -to ENET_LDEV_N
set_location_assignment PIN_T25 -to ENET_SRDY_N
set_location_assignment PIN_T21 -to ENET_W_R_N
set_location_assignment PIN_AA32 -to FLASH_CS_N
set_location_assignment PIN_AA31 -to FLASH_OE_N
set_location_assignment PIN_W32 -to FLASH_RW_N
set_location_assignment PIN_W11 -to INIT_DONE
set_location_assignment PIN_B4 -to LEDG[0]
set_location_assignment PIN_D5 -to LEDG[1]
set_location_assignment PIN_E5 -to LEDG[2]
set_location_assignment PIN_A4 -to LEDG[3]
set_location_assignment PIN_A5 -to LEDG[4]
set_location_assignment PIN_D6 -to LEDG[5]
set_location_assignment PIN_C6 -to LEDG[6]
set_location_assignment PIN_A6 -to LEDG[7]
set_location_assignment PIN_AG19 -to PLD_CLEAR_N
set_location_assignment PIN_AM17 -to PLD_CLOCKINPUT[1]
set_location_assignment PIN_AC17 -to PLD_CLOCKINPUT[2]
set_location_assignment PIN_F14 -to PLD_RECONFIGREQ_N
set_location_assignment PIN_M5 -to PROTO1_IO[22]
set_location_assignment PIN_AH23 -to PROTO2_IO[16]
set_location_assignment PIN_AF25 -to PROTO2_IO[24]
set_location_assignment PIN_AG25 -to PROTO2_IO[26]
set_location_assignment PIN_AG26 -to PROTO2_IO[28]
set_location_assignment PIN_AF18 -to PROTO2_IO[2]
set_location_assignment PIN_AA20 -to PROTO2_IO[32]
set_location_assignment PIN_Y19 -to PROTO2_IO[33]
set_location_assignment PIN_W19 -to PROTO2_IO[34]
set_location_assignment PIN_W18 -to PROTO2_IO[35]
set_location_assignment PIN_AA19 -to PROTO2_IO[36]
set_location_assignment PIN_Y17 -to PROTO2_IO[37]
set_location_assignment PIN_V18 -to PROTO2_IO[40]
set_location_assignment PIN_AH21 -to PROTO2_IO[4]
set_location_assignment PIN_AG21 -to PROTO2_IO[8]
set_location_assignment PIN_V20 -to RTS[2]
set_location_assignment PIN_L16 -to RXD[1]
set_location_assignment PIN_AA28 -to RXD[2]
set_location_assignment PIN_AD11 -to SDRAM_A[0]
set_location_assignment PIN_AB16 -to SDRAM_A[10]
set_location_assignment PIN_AE13 -to SDRAM_A[11]
set_location_assignment PIN_AD13 -to SDRAM_A[1]
set_location_assignment PIN_AB13 -to SDRAM_A[2]
set_location_assignment PIN_AE14 -to SDRAM_A[3]
set_location_assignment PIN_AB14 -to SDRAM_A[4]
set_location_assignment PIN_AC14 -to SDRAM_A[5]
set_location_assignment PIN_AD14 -to SDRAM_A[6]
set_location_assignment PIN_AE10 -to SDRAM_A[7]
set_location_assignment PIN_AB15 -to SDRAM_A[8]
set_location_assignment PIN_AC16 -to SDRAM_A[9]
set_location_assignment PIN_AL9 -to SDRAM_BA[0]
set_location_assignment PIN_AF11 -to SDRAM_BA[1]
set_location_assignment PIN_AL8 -to SDRAM_CAS_N
set_location_assignment PIN_AL7 -to SDRAM_CKE
set_location_assignment PIN_AL6 -to SDRAM_CS_N
set_location_assignment PIN_AK5 -to SDRAM_DQM[0]
set_location_assignment PIN_AG8 -to SDRAM_DQM[1]
set_location_assignment PIN_AH8 -to SDRAM_DQM[2]
set_location_assignment PIN_AL5 -to SDRAM_DQM[3]
set_location_assignment PIN_AL4 -to SDRAM_DQ[0]
set_location_assignment PIN_AJ6 -to SDRAM_DQ[10]
set_location_assignment PIN_AM6 -to SDRAM_DQ[11]
set_location_assignment PIN_AM7 -to SDRAM_DQ[12]
set_location_assignment PIN_AK7 -to SDRAM_DQ[13]
set_location_assignment PIN_AJ7 -to SDRAM_DQ[14]
set_location_assignment PIN_AM8 -to SDRAM_DQ[15]
set_location_assignment PIN_AJ10 -to SDRAM_DQ[16]
set_location_assignment PIN_AK8 -to SDRAM_DQ[17]
set_location_assignment PIN_AJ8 -to SDRAM_DQ[18]
set_location_assignment PIN_AM9 -to SDRAM_DQ[19]
set_location_assignment PIN_AJ5 -to SDRAM_DQ[1]
set_location_assignment PIN_AF12 -to SDRAM_DQ[20]
set_location_assignment PIN_AG10 -to SDRAM_DQ[21]
set_location_assignment PIN_AF10 -to SDRAM_DQ[22]
set_location_assignment PIN_AG12 -to SDRAM_DQ[23]
set_location_assignment PIN_AJ11 -to SDRAM_DQ[24]
set_location_assignment PIN_AH11 -to SDRAM_DQ[25]
set_location_assignment PIN_AL10 -to SDRAM_DQ[26]
set_location_assignment PIN_AM10 -to SDRAM_DQ[27]
set_location_assignment PIN_AK12 -to SDRAM_DQ[28]
set_location_assignment PIN_AJ12 -to SDRAM_DQ[29]
set_location_assignment PIN_AH5 -to SDRAM_DQ[2]
set_location_assignment PIN_AM11 -to SDRAM_DQ[30]
set_location_assignment PIN_AM12 -to SDRAM_DQ[31]
set_location_assignment PIN_AM4 -to SDRAM_DQ[3]
set_location_assignment PIN_AG9 -to SDRAM_DQ[4]
set_location_assignment PIN_AH6 -to SDRAM_DQ[5]
set_location_assignment PIN_AH7 -to SDRAM_DQ[6]
set_location_assignment PIN_AH9 -to SDRAM_DQ[7]
set_location_assignment PIN_AM5 -to SDRAM_DQ[8]
set_location_assignment PIN_AK6 -to SDRAM_DQ[9]
set_location_assignment PIN_AK4 -to SDRAM_RAS_N
set_location_assignment PIN_AK9 -to SDRAM_WE_N
set_location_assignment PIN_AG11 -to SRAM_BE_N[0]
set_location_assignment PIN_AK10 -to SRAM_BE_N[1]
set_location_assignment PIN_AK11 -to SRAM_BE_N[2]
set_location_assignment PIN_AL11 -to SRAM_BE_N[3]
set_location_assignment PIN_AL12 -to SRAM_CS_N
set_location_assignment PIN_AG14 -to SRAM_OE_N
set_location_assignment PIN_AH14 -to SRAM_WE_N
set_location_assignment PIN_H26 -to TR_CLK
set_location_assignment PIN_M19 -to TR_DATA[4]
set_location_assignment PIN_M20 -to TR_DATA[5]
set_location_assignment PIN_K28 -to TR_DATA[6]
set_location_assignment PIN_N21 -to TR_DATA[8]
set_location_assignment PIN_L28 -to TR_DATA[12]
set_location_assignment PIN_L27 -to TR_DATA[13]
set_location_assignment PIN_N19 -to TR_DATA[15]
set_location_assignment PIN_N20 -to TR_DATA[16]
set_location_assignment PIN_L17 -to TXD[1]
set_location_assignment PIN_V24 -to TXD[2]
set_location_assignment PIN_K14 -to USER_PB[0]
set_location_assignment PIN_J15 -to USER_PB[1]
set_location_assignment PIN_L13 -to USER_PB[2]
set_location_assignment PIN_J13 -to USER_PB[3]
set_location_assignment PIN_N1 -to cf_ide_data[5]
set_location_assignment PIN_P3 -to cf_ide_data[7]
set_location_assignment PIN_N10 -to cf_ide_data[8]
set_location_assignment PIN_AH13 -to SDRAM_DQ[32]
set_location_assignment PIN_AG13 -to SDRAM_DQ[33]
set_location_assignment PIN_AF13 -to SDRAM_DQ[34]
set_location_assignment PIN_AG15 -to SDRAM_DQ[35]
set_location_assignment PIN_AL14 -to SDRAM_DQ[36]
set_location_assignment PIN_AJ14 -to SDRAM_DQ[37]
set_location_assignment PIN_AJ13 -to SDRAM_DQ[38]
set_location_assignment PIN_AM14 -to SDRAM_DQ[39]
set_location_assignment PIN_AL20 -to SDRAM_DQ[40]
set_location_assignment PIN_AH19 -to SDRAM_DQ[41]
set_location_assignment PIN_AJ19 -to SDRAM_DQ[42]
set_location_assignment PIN_AH20 -to SDRAM_DQ[43]
set_location_assignment PIN_AM21 -to SDRAM_DQ[44]
set_location_assignment PIN_AK21 -to SDRAM_DQ[45]
set_location_assignment PIN_AJ21 -to SDRAM_DQ[46]
set_location_assignment PIN_AM22 -to SDRAM_DQ[47]
set_location_assignment PIN_AJ23 -to SDRAM_DQ[48]
set_location_assignment PIN_AK22 -to SDRAM_DQ[49]
set_location_assignment PIN_AG22 -to SDRAM_DQ[50]
set_location_assignment PIN_AG23 -to SDRAM_DQ[51]
set_location_assignment PIN_AM23 -to SDRAM_DQ[52]
set_location_assignment PIN_AK23 -to SDRAM_DQ[53]
set_location_assignment PIN_AK24 -to SDRAM_DQ[54]
set_location_assignment PIN_AM24 -to SDRAM_DQ[55]
set_location_assignment PIN_AK25 -to SDRAM_DQ[56]
set_location_assignment PIN_AH24 -to SDRAM_DQ[57]
set_location_assignment PIN_AH26 -to SDRAM_DQ[58]
set_location_assignment PIN_AG24 -to SDRAM_DQ[59]
set_location_assignment PIN_AM26 -to SDRAM_DQ[60]
set_location_assignment PIN_AM25 -to SDRAM_DQ[61]
set_location_assignment PIN_AJ26 -to SDRAM_DQ[62]
set_location_assignment PIN_AK26 -to SDRAM_DQ[63]
set_location_assignment PIN_AF30 -to FLASH_A[0]
set_location_assignment PIN_AF29 -to FLASH_A[1]
set_location_assignment PIN_AE30 -to FLASH_A[2]
set_location_assignment PIN_AE29 -to FLASH_A[3]
set_location_assignment PIN_AG32 -to FLASH_A[4]
set_location_assignment PIN_AG31 -to FLASH_A[5]
set_location_assignment PIN_AF32 -to FLASH_A[6]
set_location_assignment PIN_AF31 -to FLASH_A[7]
set_location_assignment PIN_AE32 -to FLASH_A[8]
set_location_assignment PIN_AE31 -to FLASH_A[9]
set_location_assignment PIN_AD32 -to FLASH_A[10]
set_location_assignment PIN_AD31 -to FLASH_A[11]
set_location_assignment PIN_AB28 -to FLASH_A[12]
set_location_assignment PIN_AB27 -to FLASH_A[13]
set_location_assignment PIN_AC32 -to FLASH_A[14]
set_location_assignment PIN_AC31 -to FLASH_A[15]
set_location_assignment PIN_AB30 -to FLASH_A[16]
set_location_assignment PIN_AB29 -to FLASH_A[17]
set_location_assignment PIN_Y29 -to FLASH_A[18]
set_location_assignment PIN_Y28 -to FLASH_A[19]
set_location_assignment PIN_AA30 -to FLASH_A[20]
set_location_assignment PIN_AA29 -to FLASH_A[21]
set_location_assignment PIN_AB32 -to FLASH_A[22]
set_location_assignment PIN_AB31 -to FLASH_A[23]
set_location_assignment PIN_AH30 -to FLASH_D[0]
set_location_assignment PIN_AH29 -to FLASH_D[1]
set_location_assignment PIN_AJ32 -to FLASH_D[2]
set_location_assignment PIN_AJ31 -to FLASH_D[3]
set_location_assignment PIN_AG30 -to FLASH_D[4]
set_location_assignment PIN_AG29 -to FLASH_D[5]
set_location_assignment PIN_AH32 -to FLASH_D[6]
set_location_assignment PIN_AH31 -to FLASH_D[7]
set_location_assignment PIN_AM27 -to SE_A[1]
set_location_assignment PIN_AM28 -to SE_A[2]
set_location_assignment PIN_AJ27 -to SE_A[3]
set_location_assignment PIN_AK27 -to SE_A[4]
set_location_assignment PIN_AL29 -to SE_A[5]
set_location_assignment PIN_AM29 -to SE_A[6]
set_location_assignment PIN_AJ28 -to SE_A[7]
set_location_assignment PIN_AH28 -to SE_A[8]
set_location_assignment PIN_AK20 -to SE_A[9]
set_location_assignment PIN_AJ20 -to SE_A[10]
set_location_assignment PIN_AL21 -to SE_A[11]
set_location_assignment PIN_AL22 -to SE_A[12]
set_location_assignment PIN_AJ22 -to SE_A[13]
set_location_assignment PIN_AH22 -to SE_A[14]
set_location_assignment PIN_AL23 -to SE_A[15]
set_location_assignment PIN_AL24 -to SE_A[16]
set_location_assignment PIN_AJ25 -to SE_A[17]
set_location_assignment PIN_AH25 -to SE_A[18]
set_location_assignment PIN_AL25 -to SE_A[19]
set_location_assignment PIN_AD18 -to SE_D[0]
set_location_assignment PIN_AB18 -to SE_D[1]
set_location_assignment PIN_AB19 -to SE_D[2]
set_location_assignment PIN_AC20 -to SE_D[3]
set_location_assignment PIN_AD20 -to SE_D[4]
set_location_assignment PIN_AE20 -to SE_D[5]
set_location_assignment PIN_AB20 -to SE_D[6]
set_location_assignment PIN_AF20 -to SE_D[7]
set_location_assignment PIN_AC21 -to SE_D[8]
set_location_assignment PIN_AD21 -to SE_D[9]
set_location_assignment PIN_AB21 -to SE_D[10]
set_location_assignment PIN_AE21 -to SE_D[11]
set_location_assignment PIN_AG20 -to SE_D[12]
set_location_assignment PIN_AF21 -to SE_D[13]
set_location_assignment PIN_AD22 -to SE_D[14]
set_location_assignment PIN_AF22 -to SE_D[15]
set_location_assignment PIN_AE22 -to SE_D[16]
set_location_assignment PIN_AC17 -to SE_D[17]
set_location_assignment PIN_AE19 -to SE_D[18]
set_location_assignment PIN_AD19 -to SE_D[19]
set_location_assignment PIN_AC18 -to SE_D[20]
set_location_assignment PIN_AB17 -to SE_D[21]
set_location_assignment PIN_AC19 -to SE_D[22]
set_location_assignment PIN_AL26 -to SE_D[23]
set_location_assignment PIN_AL27 -to SE_D[24]
set_location_assignment PIN_AL28 -to SE_D[25]
set_location_assignment PIN_AK28 -to SE_D[26]
set_location_assignment PIN_AK29 -to SE_D[27]
set_location_assignment PIN_AC13 -to SE_D[28]
set_location_assignment PIN_AD10 -to SE_D[29]
set_location_assignment PIN_AC11 -to SE_D[30]
set_location_assignment PIN_AE11 -to SE_D[31]
set_location_assignment PIN_AK16 -to SDRAM_CLK
set_location_assignment PIN_Y30 -to flash_WP_n

Outros cuidados de configuração

Além da configurações dos pinos certifique-se que o projeto está com o DEVICE correto e que os pinos não utilizados estão em 3-estado.

 set_global_assignment -name FAMILY "Stratix II"
 set_global_assignment -name DEVICE EP2S60F1020C3
 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"

Para conferir as pinagens dos pinos do kit, confira as tabelas do manual do kit, cujas tabelas de resumo estão a seguir:

Tabelas da pinagem dos displays e leds

Pinagem DISP LED.png

Nomenclatura dos segmentos nos displays)

DisplayDuplo.png

Tabelas da pinagem dos Conversores AD e DA

Pinagem CADA.png Pinagem CADB.png Pinagem CDAA.png Pinagem CDAB.png