Códigos VHDL para uso nas Aulas 2011-1

De MediaWiki do Campus São José
Revisão de 09h18min de 12 de agosto de 2010 por Moecke (discussão | contribs) (New page: == Descrição do hardware de uma porta E == <syntaxhighlight lang=text> entity PortaE is port ( -- Input ports A,B : in bit; -- Output ports C : out bit ); end entity PortaE; architecture v1 ...)
(dif) ← Edição anterior | Revisão atual (dif) | Versão posterior → (dif)
Ir para navegação Ir para pesquisar

Descrição do hardware de uma porta E

entity PortaE is
	port
	(
		-- Input ports
		A,B	: in  bit;
		-- Output ports
		C	: out bit
	);
end entity PortaE;
architecture v1 of PortaE is


begin
 C <= A and B;
end architecture v1;