Operadores Aritméticos em VHDL

De MediaWiki do Campus São José
Revisão de 20h26min de 10 de maio de 2016 por Moecke (discussão | contribs) (Criou página com 'Alguns operadores estão definidos na própria linguagem VHDL. <syntaxhighlight lang=vhdl> function "+" (L, R: INTEGER) return INTEGER; function "+" (L, R: NATURAL) return INTEGER; </syntaxhighlight> ...')
(dif) ← Edição anterior | Revisão atual (dif) | Versão posterior → (dif)
Ir para navegação Ir para pesquisar

Alguns operadores estão definidos na própria linguagem VHDL.

  function "+" (L, R: INTEGER) return INTEGER;
  function "+" (L, R: NATURAL) return INTEGER;

Na linguagem VHDL os operadores aritméticos estão definidos nas bibliotecas.

numeric_std

  function "+" (L, R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
  -- Result: Adds two UNSIGNED vectors that may be of different lengths.
 
  function "+" (L, R: SIGNED) return SIGNED;
  -- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0).
  -- Result: Adds two SIGNED vectors that may be of different lengths.
 

  function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0).
  -- Result: Adds an UNSIGNED vector, L, with a non-negative INTEGER, R.

  function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0).
  -- Result: Adds a non-negative INTEGER, L, with an UNSIGNED vector, R.
 
  function "+" (L: INTEGER; R: SIGNED) return SIGNED;
  -- Result subtype: SIGNED(R'LENGTH-1 downto 0).
  -- Result: Adds an INTEGER, L(may be positive or negative), to a SIGNED vector, R.
 
  function "+" (L: SIGNED; R: INTEGER) return SIGNED;
  -- Result subtype: SIGNED(L'LENGTH-1 downto 0).
  -- Result: Adds a SIGNED vector, L, to an INTEGER, R.