Mudanças entre as edições de "Circuito Serializador - Pedroni VHDL"

De MediaWiki do Campus São José
Ir para navegação Ir para pesquisar
(Criou página com '__NOTOC__ ==Estrutura== * * ==Código VHDL== <syntaxhighlight lang=vhdl> </syntaxhighlight> ==Testbench== *Código *Resultado (print) ==Simulações== {| border="1" cellpadding="5" cellspacing="0" s...')
 
(Limpou toda a página)
 
(Uma revisão intermediária pelo mesmo usuário não está sendo mostrada)
Linha 1: Linha 1:
__NOTOC__
 
==Estrutura==
 
*
 
*
 
  
==Código VHDL==
 
<syntaxhighlight lang=vhdl>
 
 
 
 
</syntaxhighlight>
 
 
==Testbench==
 
*Código
 
*Resultado (print)
 
 
==Simulações==
 
{| border="1" cellpadding="5" cellspacing="0" style="text-align: center;"
 
! colspan="1" style="background: #efefef;" | Nº Bits
 
! colspan="1" style="background: #efefef;" | ALMs
 
! colspan="1" style="background: #efefef;" | Delay
 
! colspan="1" style="background: #efefef;" | Potência (mW)
 
|-
 
| x || x || x || x
 
|-
 
| x || x || x || x
 
|-
 
| x || x || x || x
 
|-
 
| x || x || x || x
 
|-
 
|}
 

Edição atual tal como às 07h41min de 30 de março de 2016